真人一对一直播,chinese极品人妻videos,青草社区,亚洲影院丰满少妇中文字幕无码

0
首頁 精品范文 汽車電子技術論文

汽車電子技術論文

時間:2022-05-09 01:35:37

開篇:寫作不僅是一種記錄,更是一種創造,它讓我們能夠捕捉那些稍縱即逝的靈感,將它們永久地定格在紙上。下面是小編精心整理的12篇汽車電子技術論文,希望這些內容能成為您創作過程中的良師益友,陪伴您不斷探索和進步。

汽車電子技術論文

第1篇

1.學生對“職業核心能力”的認識和理解。

在關于“您是否非常清楚什么是職業核心能力”問卷調查中,回答“不清楚”的,在校學生占73%,在企業中實習或已工作的學生占53.3%,說明了學生在校期間接觸這方面的訓練比較少,對職業核心能力并沒有認識和理解,學校缺乏專門的培訓。在關于“您覺得是否需要著重培養自己的職業核心能力”中,選擇“非常需要”的,在校學生占44.4%,在企業中實習或已工作的學生占80%;選擇“需要”的,在校學生占55.6%,在企業中實習或已工作的學生占20%;選擇“不怎么需要”和“不需要”占0%,這說明學生非常渴望職業核心能力的培養。

2.學生對自身的能力和職業發展前景的認識。

在“您是否非常清楚自己應該往哪些職業方向去發展?”問卷調查中,選擇“是”的在校學生占33.3%,在企業中實習或已工作的學生占73.3%,選擇“不太確定”在校學生66.7%,在企業中實習或已工作的學生占26.7%。在“您覺得自己在校培養的職業核心能力與出來社會工作所要求的(不管專業是否對口)是否有區別?”,在校學生93.7%選擇有區別,在企業中實習或已工作的學生的98.7%選擇有區別。學生認為影響自己職業發展的主要不足之處,選擇人數最多的一項是“缺乏信息處理能力”,第二多的是“專業知識和專業技能不足”,排在第三位的是“缺乏創造力”。在關于“您認為從事您想做的工作所最需要的職業核心能力是什么”問卷調查中,排在第一位的是“溝通能力”,其次是“團隊合作能力”,再次是“創新能力”。這些說明大多數學生對自己將來的職業發展方向認識不清楚,對將來從事的工作所需的職業核心能力也不明確。可見職業核心能力方面的學校培訓對于高職學生有很重要的意義。

3.學生對職業核心能力培養方式的反饋。

在“目前學校對學生職業核心能力培養的主要方式是什么”問卷提問中,選擇“理實一體、工學結合教學”在校學生占57.1%,選擇“職業指導課”占22.2%,選擇“參加相關社團活動”占19%,選擇“聽講座”占11.1%,選擇“參加招聘會”占6.4%。在“在校期間哪些活動對您成長幫助最大”問卷提問中,選擇“參加社會活動(如寒暑假社會實踐、公益活動等)”在校學生占49.2%,選擇“各種社團活動”占35%。可見“,理實一體、工學結合教學”和“社會活動(如寒暑假社會實踐、公益活動等)”是目前高職院校學生職業核心能力培養的主要途徑。

二、用人單位對汽車電子技術業畢業生的評價調研

評價調研的對象是2010年、2011年、2012年、2013年畢業的汽車電子技術專業學生,評價的內容包括“對畢業生思想、道德、文化、心理、身體素質的綜合評價”、“對畢業生業務、職業技能、實踐能力等的綜合評價”、“本專業畢業生能力、素質的主要缺陷”“、對我院人才培養工作的建議”。調研結果表明:汽車電子專業中高素質技能型人才無論是數量和質量上均處在嚴重緊缺的狀態,已成為制約行業發展的瓶頸;在調研過程中我們注意到,在企業內很多人都擔任了不同的工作角色,幾乎所有企業都喜歡既懂技能又懂管理,專業知識面廣,一專多能,具有一定社交能力和組織協調能力的專業人才。汽車電子技術應用行業需要的是掌握了一定專業知識、動手能力強的技能型人才,特別對高素質綜合技能型人才要求比較高,現在的企業對人才的綜合素質也提出了很高的要求,從思想素質到職業道德及人文素質都提出了較高要求。

三、高職汽車電子技術專業學生核心能力培養的措施

第2篇

論文摘要:本文指出我國汽車電子產業大而不強,企業核心競爭力嚴重缺乏,市場發展前景廣闊。當前是汽車電子產業自主創新的關鍵時期,自主創新是提高我國汽車電子產業競爭力的突破口,并提出自主創新的發展思路。

汽車電子技術創新是推動汽車產業發展的主要推動力,汽車中約70%的創新來源于汽車電子技術。汽車電子產業給汽車產業帶來了活力,使汽車這一傳統產業煥發了新生,是信息技術改造傳統產業的典范。汽車電子作為汽車的組成部分,同時也是信息產業的新興力量,標準和專利在汽車電子產業中的地位舉足輕重,可以說“標準就是力量”。

一、我國汽車電子產業大而不強

2007年,我國汽車產業生產和銷售量分別達到888.24和879.15萬輛,分別躍居世界第三和第二位,成為汽車生產和銷售大國。中國汽車市場的快速發展帶動了汽車電子市場的高速增長,2007年我國汽車電子市場規模達到1000億元。

我國汽車產業以及汽車電子產業雖然規模大,但企業核心競爭力嚴重缺乏,核心技術和關鍵元器件受制于人。在中國汽車電子市場中,國外品牌的產品占據了主導地位,其中包括Bosch、Denso、Delphi、Simense、Visteon、Continental、Valeo等跨國企業。深圳航盛雖連續多年成功躋身中國汽車電子市場十大供應商行列,主要產品就是汽車音響,并非汽車電子的核心技術。

跨國公司利用其所掌握核心技術、標準和專利,掌控著全球產業布局,從而實現其全球利益最大化。我國汽車電子產業處在產業鏈和價值鏈的中、低端,只是跨國集團全球發展戰略的生產基地和銷售市場。汽車電子產業長期以加工組裝為主,利潤率和工業增加值每況愈下,嚴重影響我國的產業安全。

二、我國汽車電子市場的發展趨勢

電子技術和信息技術已經廣泛應用于汽車的各個領域,極大地改善了汽車在安全、節能、環保、快速和舒適等綜合性能,汽車電子設備對汽車產品重要性的不斷增加。目前,汽車上的電子裝置成本將可能占汽車整車成本的30%左右,在高檔轎車達到60%以上。2007年,全球汽車電子市場規模達到1410億美元。汽車電子產業市場規模將進一步擴大,市場前景廣闊。

隨著汽車電子行業的發展,汽車電子的性能和可靠性將大幅提高,新能源和節能技術、信息技術的發展,將推動汽車電子產品的升級換代。汽車電子產業中的高性能IC以及高端解決方案將被大量應用和普及網絡級解決方案前景看好。

預計2007到2011年,我國汽車電子市場將保持快速發展年均復合增長率將超過25%,2011年汽車電子市場將實現2400億元的規模。隨著汽車消費市場的快速發展,由于人們對汽車消費的要求的提高,汽車電子產品高端需求將持續增長,因而,我國汽車電子產業即使面臨汽車市場增長放緩,也會持續高速發展。

三、自主創新是提高我國汽車電子產業競爭力的突破口

在汽車電子領域,由于盲目崇拜外國技術的認識誤區阻礙了國內科研系統的發展。我國汽車企業研發能力十分薄弱,對外國技術高度依賴,同時由于這種境況,在獲取外國技術合作中往往面臨不平等的條件,技術合作的領域也并非核心技術領域。例如,有些外企明文規定,合資生產的產品不得出口到其有銷售意愿的國家或地區。開放雖然一定程度提高了民族企業的生產和技術水平,但愿為達到獨立自主發展的階段和能力,陷入到技術引進、模仿、落后,再引進、模仿持續落后的技術陷阱,始終作為跨國企業的附庸,核心技術和關鍵元器件受制于人。

由于國內汽車電子產業大量存在知識產權得不到有效保護,中國缺乏有效的知識產權保護體系而壓制了企業技術創新的積極性,于是,中國民族企業只是關注使用外國技術,依靠低成本生產產品,而不是全力開發獨自技術。導致中國汽車電子產業在技術陷阱中越陷越深。

主席在2006年全國科學和技術大會上指出:“抓住信息科技更新換代和新材料科技迅猛發展的難得機遇,把掌握裝備制造業和信息產業核心技術的自主知識產權作為提高我國產業競爭力的突破口。”我國已確定把掌握裝備制造業和信息產業核心技術為國家創新戰略的重點之一,明確規定:“把掌握裝備制造業和信息產業核心技術的自主知識產權,作為提高我國產業競爭力的突破口。從世界范圍看,信息技術正處于加快發展的關鍵時期,新材料技術發展十分迅猛,蘊含著巨大的發展機遇。我們要以信息、裝備制造和新材料的集成創新為核心,開發一批重大成套裝備、高技術裝備,盡快改變我國在這方面缺乏核心技術、關鍵成套裝備基本依靠進口的局面,促進信息化與工業化良性互動,全面提升我國制造業的技術創新能力和國際競爭能力。”

目前,汽車電子產業正面臨著這樣的技術和產品更新換代的好時機,加快自主創新,快速掌握具有自主知識產權的核心技術,是提高我國汽車電子產業國家競爭力的突破口和關鍵時機。

四、我國汽車電子產業自主創新發展思路

我國汽車產業和汽車電子產業將持續快速發展,應該以此為依托,提高自主創新能力,實現汽車產業的騰飛,推動我國成為全球電子強國。推動我國汽車電子產業自主創新的發展思路如下:

1.保持我國汽車電子產品在產業鏈的中、低端環節的規模優勢。保持規模優勢是我國企業在市場競爭中得以生存的基礎和保證。今后10年內我國經濟將繼續保持快速增長,居民可支配收入快速增加,汽車市場規模擴大,汽車電子市場在規模和產品層次上進一步擴大和提高,汽車電子產品無論是高端、低端都將進一步放大。這就為汽車電子企業占領市場,保持規模低成本優勢,獲得生存和發展提供了條件,也為在“大”中圖“強”打下了基礎。充分發揮電子產品生產加工方面的優勢。在現有的技術基礎上,國內品牌很難在很短的時間內,在核心汽車電子領域有重大突破,在這樣的情況下,國內企業應根據自身特點和有點,選擇合理的產品定位,使其在電子產品生產加工方面的優勢得到體現。目前,民族企業在汽車音響、防盜器、倒車雷達等中、地點汽車電子領域占有一定的市場份額,這些產品技術要求相對較低,而且非常有利于突出本土企業的優勢,在這些產品領域,民族企業仍然具有很大的發展空間,積極拓展全球市場。

2.重點發展汽車嵌入式電子芯片和軟件。汽車嵌入式電子芯片和軟件是汽車電子發展的技術主流。從汽車電子領域的發展趨勢看,愈來愈多的汽車電子產品都在向數字化、智能化、網絡化的方向發展,單純的硬件產品向軟、硬件一體化的設備發展,單純做硬件的企業向兼做硬件和軟件的企業發展。因而汽車電子企業從傳統的設備制造企業變成嵌入式芯片和軟件企業,正是多數汽車電子企業的發展方向。

汽車電子企業繼續提高其企業中、產品中的軟件成分的比重,向更加“軟”的方向發展。汽車電子產業應從低端、純硬件的加工制造,向更高端的、嵌入式設備的設計制造方向發展。

3.實施知識產權發展戰略。知識產權在當今市場競爭中的作用越來越重要,這種重要性決定國家、行業和企業的生存與發展,決定著國家、行業和企業的切身利益。只有相當一批具有重要意義和影響的原始性創新成果相繼涌現才能推動我國汽車電子領域部分重點和關鍵領域已接近或達到國際先進水平。在汽車電子產業中自主創新就是要把握住自主知識產權,實現企業競爭力的根本改變。

技術專利是知識產權重要組成部分,專利戰略被確立為科技發展戰略之一。企業是技術創新的主體,知識產權戰略首先應當是一種企業戰略,是企業市場競爭的戰略性武器。我國汽車電子企業應注重技術開發和利用,將大量的技術專利,通過多種交易方式實現知識產權或使用權的轉化,進而完成商品化、產業化。國內行業與企業應主動了解并掌握與知識產權相關的國際慣例,加快實現技術、專利、標準的融合,提升整個產業的綜合實力。

4.利用扶持創新發展的產業政策。對于國內汽車電子產業來說,核心汽車電子產品是其薄弱環節,核心技術和產品的技術難度大,開發成本高,同時也要面對實力強大的競爭對手。國家必須出臺相應的產業政策予以支持。國家應堅持科學決策,完善創新機制和產業化政策體系,應從財政支持、稅收減免優惠、政府和國企采購等產業政策等方面來支持具有自主知識產權的核心技術開發的企業,加快推進自主知識產權技術產業化。

我國汽車電子產業處于發展的初級階段,企業應根據市場和自身優勢,打開核心技術的突破口,利用國家的行業政策,實施知識產權發展戰略,實現打破跨國公司技術壟斷,推動汽車電子產業健康發展。

參考文獻:

[1]邵虞.汽車電子憧憬未來.電子產品世界,2003(11):8-11.

第3篇

刊載內容:主要刊載較高學術、技術水平和實用價值的研究課題、學術報告、科研成果和綜合評述等優秀學術性論文,主要欄目有:軍事通信、無線通信、無線與互聯網、信號處理、通信設備、信息安全、測控技術、數控技術、自動化技術、電子技術應用、工控技術、電子技術、智能交通與導航、新型顯示技術、圖像檢測與處理、汽車電子、節能減排技術;嵌入式技術,科學計算及信息處理、計算機控制與仿真、計算機軟/硬件與數據總線、模式識別與人工智能、航空航天技術、新型電子材料、電子與信息器件、傳感器技術、虛擬儀器與應用、新型智能器件、電源技術、激光與紅外技術等。

本刊影響及收錄情況:本刊主要刊載學術、技術類文章和有實用價值的研究課題、學術報告、科研成果等優秀技術性論文。在中國科技核心期刊擴展版中所屬學科為TN類(無線電電子學、電信技術)。

按影響因子與學科排名:影響因子為0.548,在同類全國排名中位列第12名;

總被引頻次與學科排名:被引頻次為4 633,在同類全國排名中位列第2名;

基金論文比與學科排名;基金論文比為0.332,在同類全國排名中位列第29位;

來源文獻量與學科排名:來源文獻量為1 188,在同類全國排名中位列第6名。

收錄情況:《現代電子技術》為中國學術期刊綜合評價數據庫來源期刊、RCCSE中國核心學術期刊(A)、中國新聞出版總署期刊資料庫收藏期刊、中國期刊、中國科技期刊、知網、萬方和維普等各大數據庫全文收錄期刊;為美國《烏利希期刊指南》收錄期刊。

投稿要求:來稿務必論點明確,文字精練,數據可靠,每篇論文(含圖、表)一般不超過 6 000 字,必須包括(按順序):題目、作者姓名、作者單位及郵政編碼、中文摘要(目的、方法、結果、結論四要素齊全)、關鍵詞( 4~8 個)、中國圖書資料分類號(簡稱中圖分類號)、文獻標識碼、英文信息(題名、作者姓名、單位、摘要和關鍵詞)、正文、參考文獻(不少于 6個)。

文中圖、表只附最必要的(一般不超過 6 幅)。插圖務必清晰,請用計算機軟件( Word 或 Visio )繪圖(矢量圖或高點陣圖)。

第4篇

2018年上半年面向社會開考專業實踐性環節考核時間安排表

專業

考核課程

報名時間

報名方式

考核時間

實施單位

報名聯系電話

通信信息管理(專)

所有實驗

2018年3月

電話或到學校自考辦

(電子科技大學繼續教育學院406室,成都市一環路東一段240號)

2018年5月上旬

電子科技大學

自考辦

028-83202390

uestcedu.com/

電子技術(專)

所有實驗

計算機及應用(專)

所有實驗

機電一體化工程(專)

所有實驗

計算機信息管理(專)

所有實驗

機電一體化工程(本)

所有實驗

計算機及應用(本)

所有實驗

計算機網絡(本)

所有實驗

計算機信息管理(本)

所有實驗

工業工程(本)

所有實驗

機電一體化工程(本)

畢業設計

2017年12月

2018年3月中上旬

工業工程(本)

畢業設計

計算機及應用(本)

畢業設計

計算機網絡(本)

畢業設計

計算機信息管理(本)

畢業設計

房屋建筑工程(專)

所有實踐課程

2017年12月上、中旬

到學校自考辦(西南交通大學遠程與繼續教育學院5408房間)

2018年3月中旬

西南交通大學自考辦

028-87600449

建筑工程(本)

所有實踐課程

環境藝術設計(本)

所有技術法考試

工程造價管理(本)

所有實踐課程

物流管理(本)

所有實踐課程

工程造價管理(專)

所有實踐課程

環境藝術設計(本)

畢業論文

2017年12月上、中旬

2018年3月下旬

建筑工程(本)

畢業論文

文化產業(本)

畢業論文

工程造價管理(本)

畢業論文

2017年12月上、中旬

到學校自考辦(西南交通大學遠程與繼續教育學院5408房間)

2018年3月下旬

西南交通大學自考辦

028-87600449

物流管理(本)

畢業論文

電子商務(本)

畢業論文

英語(專)

英語(本)

聽力 、 口語

口譯與聽力

2018年3月1日至3月29日

網上報考

2018年4月21日

西華師大自考辦

內江師院自考辦

四川大學自考辦

西科大自考辦

sc.51100.net

028-82009200

國際貿易(本)

畢業論文

2017年12月1日至12月15日

2018年3月下旬

四川大學

自考辦

51100.net

028-85412537

聯系人:邱老師

法律(本)

畢業論文

公共事業管理(本)

畢業論文

行政管理(本)

畢業論文

英語(本)

畢業論文

新聞學(本)

畢業論文

旅游管理(本)

畢業論文

對外漢語(本)

畢業論文

中英合作商務管理(本)

畢業論文

中英合作金融管理(本)

畢業論文

美術教育(專)

實踐課程

2018年6月

電話報名

2018年7月

護理學(專)

1、生化實驗2、病理實驗3、藥理實驗4、臨床實習5、生理實驗

2017年12月

網上報考

2018年3月至6月

人力資源管理(本)

畢業論文

2017年12月1日至12月15日

2018年3月下旬

漢語言文學(本)

畢業論文

2017年11月

網上報考

2018年3月

四川師范大學自考辦

crjy.sicnu.edu.cn

028-84760759

數學教育(本)

畢業論文

漢語言文學教育(本)

畢業論文

物業管理(本)

畢業論文

應用化學(本)

畢業論文

學前教育(本)

畢業論文

社會工作與管理(本)

畢業論文

應用化學(本)

微生物學與微生物學檢驗

環境影響與評價

有機化學(二)

信器分析(一)

2018年3月9日

現場報名

2018年3月10日上午

201年3月10日下午

2018年3月11日上午

2018年3月11日下午

四川師范大學自考辦

028-84760802

應用化學(專)

微生物學

無機化學(二)

分析儀器結構與維護

工業分析

食品理化檢驗

2018年3月11日上午

2018年3月11日下午

2018年3月10日下午

2018年3月10日上午

2018年3月10日上午

物業管理(本)

物業管理與實務(實踐)

2018年3月10日上午

028-84760255

學前教育(專)

幼兒教師實習指導(實踐)

028-84760713

學前教育(本)

幼兒教師教研指導(實踐)

教育管理(本)

畢業論文

2017年12月30日之前

網上查詢細則,材料郵寄學校自考辦報名

2018年3月

成都師范學院自考辦

028-66054321

cdnu.edu.cn

會計(本)

畢業論文

2017年11月14日至11月24日

網上報名

Swufe-online.com

2018年3月24日

西南財經大學自考辦

028-87352955

聯系人:尹曉瑾

金融(本)

畢業論文

工商企業管理(本)

畢業論文

財稅(本)

畢業論文

市場營銷(本)

畢業論文

經濟學(本)

畢業論文

營養食品與健康(本)

1、所有實驗

2、畢業論文

2017年12月1日至2018年1月15日

電話或到學校自考辦報名,四川理工學院繼續教育學院:四川理工學院匯北校區食堂4樓408辦公室

2018年3月中下旬

四川理工學院自考辦

0813-5505572

聯系人:張老師

電子商務(專)

1、課程考核

2、課程設計

2017年12月

到學校自考辦

1、2018年3月第1周

2、2018年3月上旬

成都信息工程大學自考辦

028-87077790

聯系人:梁老師

小學教育(本)

畢業論文

2017年12月

電話或到學校自考辦

2018年3月

西華師范大學自考辦

0817-2314317

13219131715姚老師

體育教育(本)

畢業論文

2018年1月4日至10日(法定假日除外)

電話或到學校自考辦(成都體育學院繼續教育處306室)

2018年3月14日報到,3月15日考核

成都體育學院自考辦

028-85050130

數字媒體藝術(本)

所有實踐課程、畢業設計(開題、答辯)

2017年12月4日至2018年1月15日

信函或到學校自考辦

2018年3月下旬

西南科技大學自考辦

0816-6089268

zk.swust.net.cn/

信息管理與服務(本)

機械制造與自動化(本)

電子信息技術(本)

建筑經濟管理(本)

物流管理(專)

實踐課程

2018年3月12日

到學校成教院

2018年3月26日

四川交通職業技術學院

028-85094025

秘書學(本)

畢業設計

2017年12月1日至12月30日

到學校自考辦

2018年4月初

成都學院

自考辦

028-84612006

聯系人:李老師

鄉(鎮)村管理(專)

所有實踐

2017年12月

信函、電話或到學校自考辦

2018年3月第2周

四川農業大學自考辦

0835-2885763

林業及園林高新技術與管理(本)

畢業設計

2017年12月

2018年3月第2周

汽車服務工程(本)

汽車電子控制技術(實踐)

汽車檢測診斷技術(實踐)

2017年12月1日至12月8日

到學校自考辦

2018年3月下旬

西華大學

自考辦

028-87721841

畢業設計

電氣工程與自動化(本)

電力電子技術(實踐)

單片機原理及應用(實踐)

自動控制原理(一)(實踐)

工業過程與過程控制(實踐)

計算機控制系統(實踐)

畢業設計

工程管理(本)

畢業設計

2017年12月1日至12月10日

網上查詢細則(網址:jjy.pzhu.cn)郵箱報名(郵箱:1277155636@qq.com)、現場報名

2018年3月1日

攀枝花學院

自考辦

0812-3372897

信息技術教育(本)

數據庫Visual Foxpro及學校應用(實踐)

Photoshop圖形處理(實踐)

計算機網絡基本原理(實踐)

畢業論文

2017年11月10日至11月30日

2017年12月2日至12月20日(論文)

到學校自考辦(綿陽師范學院數學與計算機科學學院自學考試辦公室一樓410房間)

2018年1月10日報到及開始實踐性環節考核

2018年1月6日論文答辯

綿陽師范學院自考辦

第5篇

論文摘要:本文綜述新經濟環境對汽車產業可能造成的影響和沖擊。討論汽車產業嫁接新舊經濟的經驗,研究探索中國汽車產業進人新經濟時代的生存和發展策略。

1新經濟是21世紀的主導經濟

新一輪世界產業革命浪潮正在悄然迫近。人類社會在經歷了采集經濟、農業經濟和工業經濟之后,世界經濟已面臨一種以全球化、信息化、網絡化和知識驅動為基本特征的社會經濟形態—新經濟(LIVewEconom3)。新經濟是相對傳統經濟而言的新概念。新經濟作為21世紀的主導經濟,主要表現為持續的高經濟增長、高就業和低通貨膨脹的“兩高一低”特征二新經濟將對典型的傳統產業—汽車業造成自上而下的大變革。這場變革可能會改變汽車產業的根本性質,誘發汽車產業在組織構造、產業界限、供應鏈結構、生產模式、產品壽命、市場格局、競爭方式、交易速度和金融制度等方面深層次的革命。新經濟崛起將對全球汽車產業的生存和發展帶來了危機和風險。

2對汽車產業的影響和沖擊

新經濟對傳統汽車產業造成的影響和沖擊十分明顯。例如,新經濟發源地美國近年來汽車產業產值占GDP比重己逐步下降,經濟增長中有27%歸功于高新技術產業,而傳統支柱產業—汽車業的份額僅占4%左右;;1998年美國三大汽車公司的市盈率平均僅為9,而微軟公司卻高達52。另外,三大汽車公司的市場資本總值還及不上微軟公司。新經濟對汽車產業造成的影響和沖擊還具體表現在以下幾方面:

(1)新經濟帶來了汽車產業新的經濟運行模型,更引發了產業的制度創新。越來越多的事實證明,汽車工業生產力發展并沒有或并不完全依賴科技進步,企業的制度創新將更重于技術創新。隨著發展中國家汽車企業引進先進技術和設備低效使用的例子增多,人們認識到,機制和制度層面的問題將成為制約汽車產業發展的重要瓶頸;

(2)網絡經濟對傳統汽車產業的沖擊是多方面和顛覆性的。例如電子商務以極具侵略性的銷售模式,瓦解了汽車傳統銷售的業務組合,改變用戶傳統的購車經驗,動搖傳統用戶的忠誠度,改變傳統汽車市場的固有格局,從而使汽車企業不得不重新構建新的流通體系,制訂新的經營戰略;

(3)在新經濟時代,全球競爭加劇導致汽車產業知識一技術一產品更新周期的縮短,人們將網絡年(3個月)”來描述汽車電子技術的發展速度。虛擬電子空間產生的“虛擬現實技術”和“動態聯盟”為加快汽車產品創新和開發速度提供了可能。例如,在1991)年轎車由構思到生產出廠要花費6年時間,而現在已縮短為不到2年。

(4)全球汽車供應鏈及其管理正由“縱向一體化”趨向“橫向一體化”。根據新經濟時代供應鏈管理需要,汽車產業將借助信息技術,建立供應鏈管理運行的支待系統和平臺,重新設計組織結構及業務流程,組合資源要素,實現利潤最大化;

(5)工業經濟時代的效率標準是勞動生產率,而新經濟時代講究的是知識生產率。隨著知識對汽車產業增長的貢獻度越來越大,汽車產業的附加值已逐漸偏重于研究開發和銷售服務兩頭,呈現“啞鈴型”態勢(見圖1)。例如,50年代一輛汽車成本的85%以上是支付給從事常規生產的工人和零部件及原料供應商,到90年代末,這兩部分成本的份額不到60%余部分支付給了設計人員、工程師、規劃人員、策略家、金融專家、經營人員、律師、廣告商和銷售商等一批善于識別問題和解決問題的創新者;另外,美日歐發達國家的汽車工業逐漸趨向“空心化”,即將生產企業遷移國外。而這種“空心化”反而使企業競爭力增強;

(6)與工業時代不同的是,新經濟時代的市場需求表現為個性化和多樣化,汽車生產方式趨向“多品種變批量”的敏捷化柔性化生產。而大批量重復性生產變得不合時宜。如通用汽車公司曾投資30---40a億美元,建立僅需15管理的高度自動化的未來工廠,但后來因市場原因無法獲得預期的效益而只得關閉。事實將證明,在新經濟時代,僅僅依靠投資和提高生產規模不能解決企業竟爭力問題;

(7)魔”成為新經濟時代企業競爭模式,競爭合作關系將取代傳統汽車工業的單純競爭關系。汽車工業全球戰略聯盟的案例層出不窮。例如,美國三大汽車廠商合資成立了電子商務網站.并號稱要將這個網站對全世界所有汽車制造廠商和零部件供應商開放,成為世界上最大的虛擬采購市場,從而創造“上午合作,以便下午競爭”的奇跡。

總之,過去100車改變了世界,未來將進人世界改變汽車的新經濟時代。在新經濟時代,汽車工業、汽車產品和汽車企業的概念將發生根本性變化。現在,汽車是裝有計算機的輪子,在新經濟時代的未來.汽車將是具有輪子的計算機。預計到2014高度成熟的汽車產品將轉變為建立在新型輕質材料、新能源和新型混合動力基礎上的消費類電子產品,汽車產業也將改造成為高新技術產業。

3汽車產業嫁接新舊經濟的經驗

24世紀初,汽車的規模生產使美國和西方發達國家進人了以汽車工業為支柱產業之一的工業化時代。然而,近年來新經濟正成為美國等國家經濟長盛不衰的支住力量,汽車工業作為典型的傳統經濟產業出路何在,汽車產業如何嫁接新舊經濟體系,成為汽車界的重大研究課題。美國和其它發達國家汽車工業界通過研究探索,對此作出了成功的努力。

(1)面對日本汽車工業的競爭,美國汽車產業不是在世界市場的價格競爭中坐等淘汰,而是由政府引導,組成以企業為主體的“PNGV”國家創新系統,向傳統汽車注人高新技術,從而爭回世界汽車第一生產大國的地位。在新經濟時代,由于信息革命涉及新舊產業眾多領域,因此.政府對推動汽車產業高科技化的作用日顯突出;

(2)美國及發達國家現代轎車上的電裝部件價值占整車比重已超過所有鋼材費用的份額,平均達到30%(其中電子裝備價值達220)。通過汽車電子的大量應用(見圖?),新經濟成分已成功地與傳統經濟實現了對接;

(3)產業界限模糊、產業相互結合是新經濟時代的趨勢之一。汽車產業與信息產業具有互動互促的關系(見圖3)4美國汽車產業為信息產業提供巨大的應用市場,信息產業通過推進汽車產業信息化進程,為汽車產業實現輔助作業、重組作業、技術創新和產品增值的可能,從而提高了美國汽車產業的競爭力。

4中國汽車產業爭取“生存權”的策略

近100年來,發達國家汽車企業的競爭焦點經歷了規模經濟一成本價格一質量性能一品種多樣化等競爭歷程,目前又進人了高新技術的更高層次競爭。由于新經濟時代的來臨和加人從飛的臨近,中國汽車工業將迅速與世界汽車產業全面接軌。在新形勢下,中國汽車工業不但要補市場經濟的課程,還將要承受新經濟競爭環境的考驗。因此根據中國經濟發展所處階段的定位和對21世紀發展的預測,必須考慮爭取新經濟時代中國汽車產業“生存權”策略。

4.1面上實行“井行”發展策略

目前汽車產業面臨的問題是當規模經濟這一課尚未補完,勞動力價格優勢又在逐步消失,產品質量仍是困擾企業的頑癥,再遇上產品換代加快的市場競爭壓力。在競爭環境下,中國汽車工業不可能亦步亦趨,串聯式走完傳統汽車產業的發展歷程,必須充分利用信息技術,按照“并行工程”思想解決以上一系列問題;

4.2點上實行“跨越式”發展策略

當前世界汽車產業正面臨新技術革命和新一輪產業調整的發展契機,新經濟時代創造了汽車工業在全球范圍內重新洗牌的機會。因此無論是發達國家汽車企業還是發展中國家汽車企業可能將打破傳統工業的“壇壇罐罐”,重新處于新的起跑線上。我們必須發揮利用發展中國家“后發效應”,及早對“新一代汽車電子裝備”、“汽車新材料”、“新能源汽車燃料電池、復合動力及氫能源汽車)”、“電子商務”、“虛擬現實(VR)技術”、“智能交通汽車系統(邢)”和“超級汽車”等未來技術進行跟蹤、研究和探索,以便選擇重點,制定對策,并尋找契機,適時投人,以實現新經濟時代汽車工業“跨越式”發展;

4.3推行“企業為主與政府引導相結合”策略

“創新”是新經濟的靈魂。根據國外汽車工業的經驗,創新的主體是企業,汽車公司應成為技術創新的需求者、開發應用者和主要投資者。政府也扮演十分重要的角色。在當前我國汽車企業技術創新能力薄弱的情況下,政府應發揮“助推器”作用,牽頭建立“國家汽車創新系統”,組織官產學研,對汽車工業“競爭前基礎技術”進行聯合攻關,以增強中國汽車工業整體竟爭力;

第6篇

關鍵詞:汽車電器;CDIO;課程改革

中圖分類號:G642.3 文獻標志碼:A 文章編號:1000-8772(2013)09-0210-02

CDIO代表構思、設計、實施和運作,它是“做中學”和“基于項目的教育和學習”的集中體現,CDIO模式是工程教育模式的一種創新,本文是依托于黑龍江工程學院教學研究項目“基于CDIO工程教育的汽車電器課程改革研究”的研究成果,文中研究在CDIO工程教育模式下的汽車電器課程教學改革。汽車電器系列課程是車輛工程專業及其他汽車類專業的重要的技術基礎課。汽車電器課程包括汽車電器和汽車電子控制技術兩部分內容,課程教學包含理論教學部分和實踐(實驗和實訓)教學部分。在傳統教學模式下,課程內容知識面廣,信息量大,實踐性強;課程教學往往先理論,后實踐,有的知識點甚至沒有實驗,難以將理論知識應用于工程實際;課程教學方法重視知識的傳授,不利于工程技術能力的培養;更為突出的是課程教學難以適應工程技術人才創新能力培養的要求。

1 CDIO工程教學理念

CDIO工程教育模式是近年來國際工程教育改革的最新成果。CDIO代表構思(conceive)、設計(Design)、實現(Implement)和運作(Operate),它讓學生以主動的、實踐的、課程之間有機聯系的方式學習工程。培養學生工程基礎知識、個人能力、人際團隊能力和工程系統能力。CDIO工程教育模式提出了系統的能力培養、教學大綱、全面的實施指引以及具可操作性的實施檢驗的12條標準。

2001年,中國教育部和中國科學技術協會共同倡導和啟動一項具有重大意義的科學教育改革,取名“做中學”。“做中學”為學生創設了一個與現實生活密切相關的情境,在熟悉的環境里學習的東西就能產生意義的理解,而不是像現在制度化的分門別類的課程那樣與實際的生活經驗相隔離,只是一些抽象知識的灌輸,缺乏意義的理解,讓學生從一開始就認識到所學知識的目的和意義,激發他們學習的興趣和熱誠,培養他們主動學習的能力。“做中學”要求教師引導學生參與以探索為中心的學習活動,一改以往單一的課堂講授的形式,學習知識的實踐過程不再是教師向學生灌輸知識的被動過程而是學生親自參與其中的主動過程,通過主動學習、自我發現、自我評價、自我創造,這樣獲得的知識和經驗是有價值的有意義的。

2 課程教學的CDIO能力大綱設計

CDIO的主要內容包括:以各學科相互支撐的課程體系來設計課程計劃,通過一個明確的方案將個人、人際交往能力以及產品過程和系統的建造能力的培養合在同一個課程計劃中。為此有必要建立課程的CDIO能力大綱。依照CDIO工程教育理論和各項研究成果,在“不減少授課內容”、“不改變原授課計劃”的前提下,實施CDIO人才培養目標,建立了汽車電器課程的CDIO能力大綱,大綱示例如下表所示。

3 面向CDIO工程教育模式的教學改革與實踐

面向CDIO工程教育模式,按照所設計的“課程教學的CDIO能力大綱”,筆者及其教學團隊進行了汽車電器課程理論與實踐教學的教學改革研究與實踐,總結研究與實踐成果,現將部分內容進行一下三個方面的闡述。

(1)亟待教師更新教育理念

在教學方法上,要求教師特別強調相關知識和能力在實踐中的有機聯系;從實際或已有知識中發現和提出問題,引導學生思考,引導學生主動學習,強調發現問題、分析問題和解決問題能力的養成,應用所學知識探究規律和致力于創新;面向CDIO,需要教師改變過去陳舊的思想意識,確立新的教育質量觀。把知識!能力和素質協調發展作為衡量現代工程環境下工程人才質量的重要依據,把培養創新型人才與社會發展進步緊密結合起來。

(2)改革和完善教學內容,將課程教學與工程項目有機結合起來

CDIO模式要求學生以項目為導向,把學科知識與真實的產品研發實踐結合起來,培養學生具備通過構思、設計、實施、運行這四個環節進行產品系統開發的能力。在傳統的課程教學中這四個重要的環節是被分割開的,理論教學偏向于構思和設計,實踐教學偏向于實施和運行,理論和實踐教學的脫離導致學生個體能力環節的不健全,表現為部分學生完成學習后能夠完成一個項目的設計、而完全不具備實施和運作項目能力;另一部分學生則與前者完全相反。

為此在課程改革實踐中,一方面安排設計性實驗,為學生提供更多的動手實踐機會,加深對所學理論知識的理解和應用;另一方面,利用現有的實施條件開發課程所屬的多項CDIO訓練項目,如“某型汽車全車線路項目”、“模型車轉向控制項目”,建立從理論學習到實踐訓練的完整教學過程,以項目為基礎、以問題為先導,以解決問題為目的,能力為前提,整合知識和訓練,讓學生有一個完整的做事經歷,培養系統的產品開發的能力。

(3)反對CDIO課程教學形式化

反對CDIO課程教學形式化是要正確認識形式和內容的關系,避免片面追求某種形式,CDIO標準提出主動學習方法的教與學,通過如問題教學、項目教學等教學方式,提高學生主動學習的積極性,而不是要在形式上完成新的教學大綱、新的授課計劃、不應該且不必要去改變合理的教學方法和理論教學實踐教學組織形式,面向CDIO教育,教學改革的重心應放在培養學生的工程能力、創新能力、社會意識和綜合素質能力。

4 結束語

CDIO是一種系統的先進的教育理念和人才培養模式,使知識、能力、素質的培養緊密結合,理論、實踐、創新合為一體。開展以項目為主線、以“做中學”的CDIO工程模式教學,使學生既能掌握課程所要求的基礎知識,又具備一定的工程實踐能力,實現創新型高素質人才的培養目標。工程教育模式在課程教學中的應用,有效地促進了教學互動、能夠極大地激發學生的學習積極性,教學質量顯著提高。

參考文獻:

[1]鄭薇薇。基于CDIO的創新型工程科技人才培養模式研究與實踐[D],大連:大連理工大學碩士學位論文,2010

第7篇

關鍵詞:高職院校;汽車文化素養

一、高職學生文化素養現狀

高職院校以向行業輸送技能應用型人才為人才培養目標,要求培養出不僅掌握專業知識和技能,還具有較高文化素養的專業性人才。但正因為其鮮明的職業定向性和崗位針對性,使一些人誤解了高職院校的人才培養目標,片面強調職業技能教育,阻礙了人文科學教育的實施。就目前掌握的情況來看,高職院校學生的文化素養不容樂觀,存在如下問題:

首先是文化素養普遍偏低。因為長久以來慣有觀念的影響,加上急功近利的思想,在高職教育中存在很嚴重的重專業輕文化現象。有些學校雖然開設了一些諸如語文、思政、藝術之類的文化課,但是為節省辦學經費,只開設一個學期,大班授課,一門課僅有幾十個課時,這些文化公共課在學生心目中分量很輕。進入高職院校的學生文化素養本來就有欠缺,在大學沒有得到有效的提升,走入社會以后由于文化素養不夠,從事的往往只能是簡單的技術工,對職業生涯的發展造成很大影響。其次是行業文化素養和職業素養欠缺。高職教育是與市場聯系最為緊密的高等教育,行業辦學的比例較大,帶有強烈的地域色彩和行業色彩。但是,受重專業輕文化固有思想的影響,學生只關注專業知識的學習,對本行業的文化了解不夠,對行業該有的職業素養也相對欠缺,造成知識結構不完整,知識儲備不全面。所以高職院校培養出的人才,實際上并沒有達到企事業單位的用人要求。

二、汽車文化素養培養的意義

隨著現代工業的蓬勃發展,人們生活水平日益提高,汽車已經走入千家萬戶,成為人們生活中不可替代的必需品,與汽車相關的產業也相繼誕生,最終形成一條產業鏈,也由此衍生出“汽車文化”這個行業文化。

高職院校有汽車類和非汽車類專業之分。其中汽車專業著重專業技術的培養,不太注重汽車文化教育,非汽車類專業學生則對汽車文化知之甚少,在高職院校中培養學生的汽車文化素養意義非常重大。

首先是高職院校彰顯自身特色的需要。現在的高職院校已經進入內涵發展階段,要加快內涵發展,打造高職品牌,就必須彰顯自己的特色。汽車類高職院校如果能把普及汽車行業文化作為一個重頭戲,把校園文化和汽車行業文化進行對接,將汽車行業精神及使命、職業道德等在校園文化建設中得到內化,將使校園文化氛圍帶有濃郁的行業文化色彩,打造出屬于自己的特色文化,促進自身特色的形成。其次是培養學生由專業知識向職業能力遷移和促進就業的需要。高職學生除了具備必要的專業素質技能外,還應具有相關的職業能力。再者,是解決汽車帶來的社會問題的需要。汽車產業的迅猛發展在給人類帶來便捷的交通方式的同時,也帶來了一系列社會問題,要解決這些問題,真正做到“人、車、環境”的和諧,成為擺在人們面前日益急迫的課題,若能在高職教育中培養學生汽車文化素養,這些帶有較高汽車文化素養的學生走入社會后,率先垂范,傳播汽車行業文化,也能有效促進這些問題的解決。

三、汽車文化素養培養的途徑

高職院校專業有汽車類和非汽車類之分,而這兩種專業汽車文化素養的基礎和要求都不一樣,所以在培養過程中應該采取不同的途徑。

首先、在汽車類專業學生中深入開展校企合作、迎企入校“走出去請進來”、建立仿真課堂,組建汽車社團等方式,提升其汽車文化素養,有效輔助其專業創新意識和能力的培養、提高其適應社會的能力。以湖南汽車工程職院為例,學院所開設的專業中,有汽車類專業六個,分別為汽車運用技術、汽車維修與服務、汽車電子技術、汽車制造技術、汽車營銷與服務、汽車評估,除汽車營銷與服務專業外,其余五個專業的學生以男生為主。男生動手能力較強,汽車專業技術較好,但對文化不感興趣,雖開設了汽車文化之類的課程,但真正深入學習的不太多。對這類學生可以通過校企合作、迎企入校,“走出去請進來”,走進企業和行業,耳濡目染,使學生親自感知行業文化的精髓;請專家進行講座、專業指導,使學生近距離了解行業文化,有效提升汽車文化素養;建立仿真課堂,創設學習情境,通過與“客戶”的溝通,不僅使學生在專業技術方面得到鍛煉,更可以感知職業素養、溝通表達等在工作中的重要性,從而提升其整體素質。其次,在非汽車類專業學生中,通過舉辦校園汽車文化節、開設汽車文化選修課,開展汽車文化講座,引進校園車展,成立車模協會等途徑,使非汽車類專業的學生和老師了解汽車文化,從而具有較高的汽車文化素養。

參考文獻:

第8篇

【關鍵詞】LPC2119;CAN;實時;監控系統

Abstract:In this paper,the microprocessor as the core design of awelding shop real-time monitoring system based on LPC2119,can sample circuit testing of welding workshop of toxic and harmful substances,when welding workshopenvironment parameter exceeds the set value will open theventilation system and through sound and light alarm,and the environmental parameters to the monitoring center through the CAN bus,the monitoring center environmentreal time monitoring of welding workshop,to prevent a catastrophic accident.

Key Words:LPC2119;CAN;Real time;Monitoring system

焊接車間里常存有大量的可燃和有毒物品,同時在焊接操作過程中還會產生大量的有化學氣體,如果沒有及時準確的檢測這些氣體的存在可能會對工作人員身體產生危害,可燃氣體達到一定的濃度還會產生爆炸,帶來的危害就相當大。所以設計一種高效準確的監控系統是非常必要的。本文利用CAN總線的通信實時性強、容錯率高、抗干擾能力強等特點設計了焊接車間的實時監控系統。

1.系統結構

系統用恩智浦半導體公司的LPC2119芯片,該芯片功耗低。電路通過8路傳感器傳送在焊接車間里的環境信息,分別是氧、一氧化碳、硫化氫、甲烷、二氧化硫、甲荃的濃度以及環境的濕度和溫度。當檢測到氣體超出設定的標準值時就會產生聲光報警,微處理器給換氣繼電器電路信號開啟焊接車間換氣并通過CAN接口電路向臨控中心送報警信息。監控中心隨時可以通過CAN總線讀焊接車間的環境信息,顯示電路用來顯示當前環境狀況,供工作人員隨時查詢。由于CAN總線的優勢監控中心隨時都可以準確的掌握焊接車間的環境狀況,及時處理突況。

圖1 系統結構圖

圖2 SO2取樣電路

2.系統硬件電路設計

2.1 取樣檢測電路

氣體傳感器選用的是煒盛公司的ME3系統和德國Drger公司生產的miniPac系列定電位電解式傳感器,傳感器電路如圖2所示。各檢測電路基本一樣,這里只給出了二氧化硫(SO2)的取樣檢測電路,AD623是一個集成單電源放大器,它的增益可以由外接電路控制。濕度取樣檢測電路是由濕敏電容HS11XX和TLC555組成,具體電路如圖3所示。取樣檢測電路得到的檢測信息分別送到LPC2119的P0.16、P0.20和P0.25-P0.30八個端口作為采集信號輸入端。

圖3 濕度取樣電路

2.2 CAN接口電路

LPC2119芯片中自帶CAN控制模塊,CAN接口電路就由6N137和82C250組成,P0.23端口與RX0相連,P0.24與TX0相連。

圖4 CAN接口電路

2.3 LPC2119端口分配

系統微處理器LPC2119各端口連接是:P0.16為濕度取樣檢測電路信號輸入端口;P0.20為溫度取樣電路信號輸入端口;P0.25-P0.30為氣體取樣檢測電路信號輸入端口;P0.0-P0.7為LCD顯示數據端口,P0.8-P0.15為LCD顯示控制端口。LCD顯示屏用深圳市川航科技有限公司的CH240128C液晶模塊;P0.23和P0.24為CAN總線數據端口,P0.17為換氣繼電器控制端口;P0.18為聲音報警輸出端口;P0.19為光報警輸出端口。P0.21-P0.22為按鍵輸入端口。

3.系統軟件設計

軟件設計是基于μC/OS-II系統設計的,μC/OS-II是一個多任務的操作系統,模塊化設計可移植性強。本系統的設計流程圖如圖5所示。

圖5 系統軟件設計

4.結束語

本系統設計微處理器選用LPC2119功耗低、處理能力強、性價比高,可在一個焊接車間安裝多個本產品,通過CAN總線組網并與監控中心相連,CAN總線傳送速度快,可靠性好,監控中心可以實時準備的知道焊接車間的環境參數,預防為]災難性事故發生,同時監控中心也可以通過CAN總線對本產品的各節點進行設置,防止節點產品誤操作。

參考文獻

[1]王娟.環境監測在環境影響評價中的分析[J].科技創業家,2013,12(23):188-190.

[2]李明俊.高效氣體監測方案應對空氣環境監控需求[J].集成電路應用,2013,35(11):101-106.

[3]米娟芳,高楠.無線環境監測模擬裝置的設計[J].山西電子技術,2013,3:15-26.

[4]陳宣揚.可燃氣體檢測報警技術研究[D].浙江工業大學學碩士學位論文,2011.

[5]周立功.ARM嵌入式系統基礎教程(第2版)[M].北京:北京航空航天大學出版社.2008.

第9篇

關鍵詞:汽車;車載網絡系統;分析;檢測;故障診斷

1 引言

車載網絡系統是汽車技術和計算機技術發展的產物,它在提高汽車性能、保證行車安全等方面有著重要的作用。但是,車載網絡系統在實際運用中也會出現相應的故障,不僅影響系統的正常運行,對整個汽車正常工作也產生嚴重的不利影響。傳統的故障檢測與維修方法不能適應車載網絡系統檢測與故障診斷的實際工作,必須根據具體情況,不斷總結經驗,探索新的檢測與故障處理方法。

2 車載網絡數據總線的的組成與結構

就其組織與結構來看,車載網絡數據總線主要包括以下構成部分。這些構成部分相互聯系,相互作用,對整個系統的正常運行和功能的發展起著重要作用。

⑴控制器。控制器的主要功能是接收控制單元傳來的數據,并對這些數據進行處理。經過處理之后,再將數據傳送至車載網絡收發器。同樣,控制器也接收收發器傳來的數據,也對這些數據進行處理,經過處理之后,再將數據傳輸至控制單元。

⑵收發器。收發器接收控制器傳來的數據,對其進行處理,將這些數據轉化為電信號,然后將其送入數據傳輸線,此外,收發器也為控制器接收和轉發數據。

⑶數據傳輸終端。事實上,數據傳輸終端是一個電阻器,它的作用是防止數據在線端被反射,避免出現以回聲形式返回現象的發生,保證數據正常傳輸,提高數據傳輸質量。

⑷數據傳輸線。數據傳輸線是雙向的,其主要作用是傳輸數據。兩條不同的線分別被稱為車載網絡H線和車載網絡L線。在進行數據傳輸的過程中,為了避免數據受到外界電磁波的干擾,避免出現受到向外輻射的情況,車載網絡總線將兩條線纏繞在一起。這兩條線的電位是相反的,如果一條是高電平(5V),另外一條就是低電平(0V),兩條線始終保持電壓總和為一常數。在線路布置的時候,通過采用上述方式,不僅保護了車載網絡數據總線,還避免了數據傳輸受到外界電磁波的干擾,同時還保證了數據總線向外輻射保持中性,也就是出現無輻射的情況。

3 凱美瑞汽車網絡故障的診斷與排除

為了讓人們對汽車車載網絡系統故障診斷與排除有更為全面的了解,下面將以凱美瑞汽車為例,對此進行詳細的介紹,希望能夠給人們的實際工作提供參考。

⑴故障現象。一輛凱美瑞240V導航版汽車累計行程達到了6.8萬千米,在一次重大交通事故當中,該車受到了嚴重的損壞,修復之后,該車仍然無法起動,將OBD-II連接到DLC3上,OBD-II無法取得通信。針對這種情況,我們采用以下方式對故障進行排除和診斷。

⑵診斷與排除。第一、通過詢問了解詳細情況。通過對車主進行詢問,得知該車在修理的時候,曾經發現儀表板內有部分線束已經破皮,為節約維修成本,修理廠將破皮線束進行過重新包扎,智能測試儀OBD-II無法與ECM取得通信,其原因很可能是通信線路存在著問題。第二、系統的構成。凱美瑞汽車網絡通信系統是一種針對實時應用的串行數據通信系統,該系統不僅通信速度高,在實際工作中,還能夠檢測故障。系統采用雙絞線作為傳輸介質,并使用了兩種不同的汽車網絡總線,按照典型通信速度的不同,可以將其劃分為HS―CAN總線和MS―CAN總線,不同的總線位置不同,在系統的作用不同。HS―CAN總線是一種中速通信總線,用于傳動系、底盤、某些車身電器通信,大約以500 kb/s的速度工作,又被稱為CAN1號總線和CAN2號總線;MS―CAN總線是一種中速通信總線,用于車身電氣系統通信。第三、測量電阻值。具體的操作步驟如下:將點火開關轉到OFF,讓系統處于關閉狀態,報警系統和照明系統不工作,然后再對電阻進行測量,以檢查線路是否存在著斷路或者斷路現象。需要注意的是,在測量電阻之前,至少要保證一分鐘之內不得對車輛進行任何操作,也不允許操作其它任何開關。因為如果進行操作的話,可能會引起電阻值發生變化。找到位于轉向盤下方的診斷插接器DLC3,在上面插入專用連接導線,然后利用萬用表測量兩端的電阻值。如果情況正常,沒有出現任何故障的話,標準電阻值應該在54-69歐姆之間。但經過測量所得的電阻值為122歐姆,遠遠超出標準值,初步判定CAN1號總線主線的某個地方可能存在著開路現象。針對這種情況,在實際工作中,將儀表板拆下,進行進一步的檢查。第四、測量具體的電阻值,找出故障所在位置并進行處理。將儀表板拆下來之后,發現內部線束有兩三處是包扎過的,斷開位于CAN1號B側總線連接器E43,采用萬用表測量E43-1和E43-2的電阻值,得到的測量值為124歐姆,在規定的108―132歐姆之間,這說明E43到組合儀表的總線正常。將連接器E42斷開,對E42-1和E42-2兩端子間電阻值進行測量,得到測量值為18歐姆,不在規定的范圍之內,這說明E42至ECM的線路存在著短路現象。斷開E41連接器,對E41-4和E41-10兩端子間電阻值進行測量,得到測量值為118歐姆,在規定的電阻值范圍之內,說明E41至ECM的連接器A55之間的線路是正常的。通過上面的測量,得知CAN l號連接器E42到CAN 4號連接器E4l之間的線路存在著的問題,于是撥開E42到E4l之間的線束處保護層,經過仔細檢查之后,發現一處主線CAN―H與CAN―L存在著破皮現象,并絞在了一起,引起短接現象。處理之后重新包好,對E42-1和E42-2兩端子間電阻值再次進行測量,得到測量值為125歐姆,說明主線正常。插好E42插接器,對E10-6至E10-14兩端子間的電阻值進行測量,得到數據為122歐姆,說明存在著開路情況,于是更換了CAN1號J/C。第五、試車。進行試車的時候,發動機還是不能起動。對機艙進行全面的檢查,發現左側ECM出現碰傷和微量變形的情況,更換了一個新的ECM。將點火開關斷開之后,短接DLC3的4號和13號端子。將點火鑰匙轉到ON檔,與微機通信三十分鐘,用智能測試儀進行匹配后,再次啟動的時候,一切正常,故障處理完畢。

⑶故障總結。對于車載網絡通信線路來說,其故障主要包括斷路和短路兩種情況,不同故障的誘發原因各不相同。斷路的引發原因主要包括:通信線路與電氣配線斷開、接頭斷開、電子控制單元電源或搭鐵斷開、電子控制單元內部出現故障;短路的引發原因主要包括:電子控制單元內的電器配線短路、通信線路短路。對于該輛汽車來說,由于車載網絡主線中1號J/C存在著開路現象,主線存在著短路問題,ECM受到損壞,這些問題的存在引起發動機無法起動現象的出現,智能測試儀OBD-II無法進入。

4 結束語

總之,隨著汽車技術和計算機技術的發展和進步,車載網絡系統的運用越來越廣泛。該系統不僅能夠促進信息資源的共享,還能夠簡化布線,減少傳感器的數量,提高整個系統的安全性和可靠性。此外,還系統的運用還有利于對系統的維修,降低維修成本,加強各控制系統的聯系。隨著汽車電子技術的不斷發展和進步,汽車網絡系統必將進一步升級,其靈活性必將更高,擴展性必將更強,抗干擾能力和糾錯能力必將更強。而在進行線路故障診斷與排除的實際工作中,我們需要認真分析具體情況,詳細了解系統的總線總線圖,并注重專業資料的運用,利用相關的輔助儀器和設備,按照相應的方法和步驟對系統進行全面的檢測,及時發現故障,排除故障,保證系統處于良好的狀態,為車輛的正常運行和工作奠定良好的基礎。

[參考文獻]

[1]藺宏良.寶馬745Li汽車車載網絡系統簡析[J].拖拉機與農用運輸車,2009(4).

[2]藺宏良.車載通信網絡的架構方式及應用研究[J].公路與汽運, 2011(1).

[3]裘玉平.車載網絡系統結構原理與診斷技術研究[D].長安大學碩士學位論文2007.

第10篇

論文關鍵詞:汽車類專業;職業教育;雙證融通

近年來,“以服務為宗旨,以就業為導向,注重質量提高,重視內涵建設”的發展思路已經成為高職院校的共識。一批在高職教育教學改革處于領先地位的院校,對我國本土化的高職課程模式進行了積極的探索,逐步積累了一些經驗,并正在形成獨特的課程模式特色。這些高職院校高度重視教育教學改革,在辦學理念、教學思想、人才培養模式等方面取得了巨大的成就。先進的辦學理念有力地帶動了專業教學改革,并逐步形成了較為鮮明的課程模式特色和教學特色。具體表現在兩個方面:“雙證”互通的課程模式走向成熟;實踐導向的課程模式正在形成。但是,實踐中也存在一些問題,如教師對高職課程改革的理念還比較模糊;反映高職教育特色的課程模式有待進一步探索;課程改革缺乏先進的理論指導;課程改革的動力需要進一步激發等。

汽車類專業職業能力與資格證書

汽車類專業(包括汽車制造與裝配技術、汽車檢測與維修技術、汽車電子技術、汽車改裝技術、汽車技術服務與營銷、汽車整形技術)的學歷證書與職業資格證書的溝通,實質是通過兩類證書及其教育培訓的相互對應、相互承認,實現學歷教育(以文化素質水平為主要標志)與職業培訓(以職業技能水平為主要標志)的溝通與銜接,最終促進勞動者綜合素質的提高。

學歷證書必須反映職業能力。學歷證書是受教育者綜合文化素質和教育水平的反映。在普通教育中,它是文化程度的憑證;在職業教育中,則是文化程度和某類(項)職業能力水平的憑證。因此,在“雙證融通”中必須將職業資格認證作為學歷證書的內涵要求。

職業資格證書對應專業核心能力,所謂“雙證融通”,指的是高職教育中以學歷證書為標志的學歷教育和以職業資格證書為標志的職業培訓之間的一種融合和溝通。其實質就是兩類證書內涵(即綜合文化水平與職業技術/技能等級)的銜接與對應。要實現“雙證融通”,首先要按照專業培養對象的未來崗位,針對專業核心能力確定專業相對應的職業類別,一般一個專業可以對應2~3個職業。其次,要確定職業資格等級與學歷層次的對應關系,主要是文化水平要求與職業能力要求的對應。

汽車類專業“雙證融通”培養模式

(一)以工作過程為導向的課程理念

課程建設、課程模式開發是一個系統工程,需要先進的理念支撐,必須結合示范性院校建設中的重點專業建設,借鑒國外職業教育課程改革的新范式,有效整合學校的教學與科研資源,全面推進課程改革。具體而言,當前高職院校在課程結構上應摒棄學科結構系統化的原則,確立以德國職業教育“學習領域”課程模式為代表的“工作過程系統化”的思想,對傳統的課程模式進行顛覆性改革。為保證先進課程理念的引入,可聘請有關專家到校指導,以提高效率、少走彎路。

課程體系構建突出對學生職業崗位能力的培養,將職業標準引入課程體系,并貫穿于教學全過程。通過對職業崗位能力的分析,我們在課程教學體系中突出了汽車發動機檢測與維修、汽車底盤檢測與維修、汽車電器設備檢測與維修等主干課程的建設和改革,構建了基礎實訓、專業實訓、校外企業頂崗三個模塊,以此支撐學生核心能力所需的知識和技能。同時,對課程設置及教學內容進行篩選、整合,將專業主干課設計為理論與實踐相結合的綜合實訓課程,突出核心能力培養。

依據職業崗位能力要求的知識與技能,對課程內容進行優化。如將專業核心課程汽車發動機檢測與維修,按照檢測與維修項目進行教學內容的優化。課程內容的組織完全按照實際工作的基本過程與職業崗位的技能要求進行。 轉貼于

(二)開展以“雙師型”為核心的師資隊伍建設

“教”應以“學”為前提,有什么樣的學習過程就應有相應的教學過程,所以,教師角色定位要更多地以學生角色的改變為依據。首先,教師是教學過程中的輔導者,即教師對整個教學做整體計劃與實施,確定任務后輔導學生完成作業。另外,教師是教學過程的指引者,教師對社會崗位需求的認識程度,對崗位技能的把握程度,直接決定了案例選擇的側重點,也直接影響著學生職業能力的培養。所以,實施任務驅動教學方法的教師負有重任。這就對教師提出了更高的要求:教師應是優秀的教學者、熟練的操作者和敏銳的崗位需求分析者。

提升師資隊伍質量是保障“雙證融通”教學模式實施的關鍵。要將專業教師定期到企業鍛煉形成制度,有計劃、有目的地安排教師到企業進行短期實習或頂崗實習。充分利用校內外實訓基地,強化教師的職業技能培訓,鼓勵教師參加各類職業資格證書考試。在不影響教學的情況下,鼓勵教師到企業兼職,參與專業實踐。

要通過產學結合,建設一支相對穩定的既有較高的學術造詣、又有較豐富的實踐經驗的兼職教師隊伍。聘請一定數量的來自于企事業單位的有關專家、學者和行業權威、骨干作為兼職教師,一方面滿足專業教學的需要,另一方面更好地架起學校與社會之間的橋梁,有利于爭取行業支持,使專業建設更貼近社會需求。

(三)融合職業標準與課程標準的“雙證書”教材建設

引導高等職業教育實施“雙證融通”的主導教學模式。所謂“雙證融通”,就是堅持以國家職業資格標準為導向,采用高職學歷證書與職業資格證書并重的教育模式,確保在教育教學中體現兩個證書的水平,同步提高高職畢業生的科學文化素質和職業素養。對于汽車類專業來說,職業資格證書包括助理汽車改裝工程師、汽車改裝工程師、高級汽車改裝工程師三個等級;汽車修理工初級、中級、高級三個等級;汽車裝配工以及汽車商務營銷師等。

第11篇

關鍵詞:研究性教學;ARM嵌入式系統;研究性教學平臺;研究性教學模式;研究性教學成效

作者簡介:譚會生(1966-),男,湖南茶陵人,湖南工業大學電氣與信息工程學院,副教授。(湖南株洲412008)

基金項目:本文系湖南工業大學教育教學改革教學之星專項(項目編號:2011C03)、湖南省教育廳大學生研究型學習與創新性實驗計劃項目(項目編號:2009-225)的研究成果。

中圖分類號:G642.0     文獻標識碼:A     文章編號:1007-0079(2012)10-0070-02

一、開展本科生研究性教學的必要性和條件

研究性教學是一種新的教育理念,是一種新的現代學習觀,它強調學習的自主性和開放性。在教師的研究性教學理念的引導下,教學設計被看成是一項系統工程,從研究思想、研究手段、研究策略等各方面進行教學過程的全新設計,激發學生的研究及探索科學問題的興趣。學生運用探索的方法,對問題進行研究,最終獲得知識。研究性教學理念要求教師通過自己的教學,培養學生做事和做人的能力和素質。

綜合現有觀點并結合作者多年實際開展研究性教學的實踐,作者認為開展本科生研究性教學必要性如下:大眾化高等教育的差異化教育的需要;提高大學生綜合應用能力的需要;提高大學生實踐動手能力的需要;提高大學生專業創新能力的需要;提高大學生專業綜合素養的需要;改變大學生被動學習學風的需要。隨著經濟社會的發展和高等教育的大力發展,我國高等教育已由精英化教育轉向大眾化教育,學生群體出現多樣化的趨勢,學生學習興趣、學習能力、學習需求的差異性日顯突出。為了提高大眾化高等教育的質量,更好地滿足市場經濟條件下對人才的高要求,筆者按人才培養方案組織教育的同時,對一些優秀和比較優秀的學生,根據社會發展的需求、學生的興趣愛好、學生的職業規劃等,進行加深與擴展,實現優才優教。

開展本科生研究性教學的主要條件如下:提高教師研究性教學的能力;激發學生研究性學習的積極性;提供研究性教學資源與教學場地;選擇一個合適的有效平臺;構建有效的研究性教學評價與評估體系。其中選擇一個合適的有效平臺,是研究性教學持續而有效開展的一個關鍵性因素。作為電類專業研究性教學的有效平臺,應該方便學生進行軟件仿真和硬件設計與制作,并具有綜合性強、創新性強、成本低廉、靈活性強等優點。

二、基于ARM嵌入式系統的研究性教學的主要優勢

嵌入式系統是指以應用為中心,以計算機技術為基礎,并且軟硬件可裁減,適用于應用系統對功能、可靠性、成本、體積、功耗有嚴格要求的專用計算機系統。嵌入式系統融合了計算機軟硬件技術、通信技術和微電子技術,是集成電路發展過程中的一個標志性成果。可以預言,嵌入式系統將成為后PC 時代的主宰。

嵌入式系統具有非常廣泛的應用,包括智能產品、工業自動化、辦公自動化、電網安全、電網設備檢測、石油化工、商業應用、安全防范、網絡通信、汽車電子與航空航天以及軍事等各個領域,是現代計算機技術改造傳統產業、提升多領域技術水平的有力工具。

按照體系結構分類,目前世界上有四大流派的嵌入式處理器內核,即MIPS處理器內核、ARM處理器內核、PowerPC和68K/COLDFIRE。其中ARM架構處理器已在高性能、低功耗、低成本應用領域中占據領先地位,它在當今最活躍的無線局域網、3G、手機終端、手持設備、有線網絡通信設備中得以廣泛應用。

利用ARM嵌入式系統設計實現電子系統具有以下幾個方面的優點:芯片內外資源豐富,硬件系統簡單;可運行各種實時操作系統,降低了復雜系統應用程序開發的難度;可運行多種操作系統,應用程序開發難度降低,系統人機界面友好;系統數據處理能力強,控制精度高;有成熟的開發工具,豐富的開發資源和資料;目前ARM具有眾多的開發人群,有助于降低企業項目開發成本、保持開發的連續性。

使用ARM嵌入式系統作為研究性教學的平臺,它具有以下優點:技術先進、社會急需、綜合性強、創新性強、成本低廉。

三、基于ARM嵌入式系統的研究性教學的研究模型

為了描述基于ARM嵌入式系統的研究性教學的研究背景、主要研究目標、主要研究內容以及主要研究期望,圖1給出了基于ARM嵌入式系統的研究性教學模型。現將主要內容具體闡述如下:

1.利用ARM嵌入式系統開展研究性教學的研究目標

利用ARM技術開展研究性教學的研究目標,主要包括三個方面:基于ARM嵌入式系統的系統設計與實現基礎訓練;基于ARM嵌入式系統的系統設計與實現相關研究;基于ARM嵌入式系統的系統設計與實現課題研究。

2.利用ARM嵌入式系統開展研究性教學的研究內容

利用ARM技術開展研究性教學的研究內容,主要包括三個方面的內容:

(1)ARM嵌入式系統設計開發基礎研究:主要包括ARM器件結構、ARM匯編語言、C/C++語言、操作系統移植、應用程序開發、驅動程序開發等嵌入式系統設計與實現基礎理論、基本方法、基本工具的學習與使用。

(2)ARM嵌入式系統設計與實現相關研究:主要是與課題設計和實現有關的數字信號處理、數字圖像處理、工業智能控制、網絡通信控制、數字家電控制等基礎理論、實現算法和系統仿真等研究,重點是實現算法的設計、選擇和仿真。

(3)基于ARM的嵌入式系統設計與實現:主要包括系統設計需求分析、ARM實現硬件設計、ARM操作系統移植、ARM應用程序設計、ARM驅動程序設計、ARM系統組裝與調試。

3.基于ARM嵌入式系統開展研究性教學的主要形式

基于ARM嵌入式系統開展研究性教學的主要形式,包括組建ARM嵌入式系統學習興趣小組、課題系統設計與實現研究小組和選拔教師科研項目助理等,通過專題訓練、分散研究、定期討論、按需答疑、總結匯報等形式開展研究活動。

四、基于ARM嵌入式系統的研究性教學的主要成效

1.熟練掌握ARM嵌入式系統基礎理論、基本方法、基本技巧、調試方法和調試技巧

從研究性學習訓練學生的畢業設計論文的質量可以看出,他們熟練掌握ARM嵌入式系統基礎理論、基本方法、基本技巧、調試方法和調試技巧,能夠盡快地適應從事嵌入式系統設計與開發工作。

2.熟練掌握與ARM嵌入式系統設計開發課題相關的基礎理論、基本方法、基本技巧

經過研究性學習訓練的學生,無論是以前學習過并且掌握的、還是以前學過但似是而非的、或是以前根本沒接觸過需重新學習的,現在已熟練掌握與ARM嵌入式系統設計開發課題相關的基礎理論、基本方法、基本技巧。

3.全面提高學生的綜合應用能力、實踐動手能力、創新創業能力和就業核心競爭力

通過研究性學習訓練的學生,不但具有良好的參考文獻查找能力、分析利用和文檔處理能力,同時他們的綜合應用能力、實踐動手能力、創新創業能力大為提高,就業核心競爭力顯著提高,80%的學生畢業時均能找到從事嵌入式系統設計與開發的工作,并且工資待遇也相當不錯。

五、結論

實踐結果表明,以課題為中心,以興趣為紐帶,以新興的、有著廣泛發展前途的ARM嵌入式系統的學習與應用為目標;采用ARM嵌入式系統學習興趣小組、課題設計與實現研究小組、參加教師科研項目等形式;通過具體的專題訓練、分散研究、定期討論、按需答疑、總結匯報等活動;開展基于ARM嵌入式系統的電類專業本科研究性教學,對提高大學生綜合應用能力、實踐動手能力、創新創業能力;提高大學生的專業核心能力,就業的核心競爭力,培養從事嵌入式系統研究、設計與開發的高級人才,具有非常明顯的成效。

參考文獻:

[1]行龍.引入研究性教學理念,著力提高本科教學質量[J].中國高等教育,2007,(22):44-45.

[2]王金發.整合理念,構建開放式研究性的教學與學習新模式[J].中國高等教育,2007,(21):20-22.

[3]劉贊英,王嵐,朱靜然,等.國外大學研究性教學經驗及其啟示[J].河北科技大學學報(社會科學版),2007,(1):68-75,50.

[4]張偉剛.大學研究性教學與科研方法[J].高等理科教育,2009,(2):65-69.

[5]瞿振元.以培養拔尖創新人才為核心提升教育質量[J].中國高等教育,2008,(1):19-22.

[6]朱崇實.研究型大學創新型人才培養的思考與探索[J].中國高等教育,2007,(21):17 -19.

[7]李昌新,劉亮,莊森.論大學研究性教學的價值取向及實施條件[J].高等農業教育,2011,(3):19-21.

[8]馬維華.嵌入式系統原理及應用[M].北京:北京郵電大學出版社,2006.

[9]李新峰,何廣生,趙秀文.基于ARM9的嵌入式Linux開發技術[M].北京:電子工業出版社,2008.

第12篇

1.1  課題背景、目的和意義

1.1.1  課題的背景 

眾所周知,汽車是當今世界主要的交通工具之一。隨著人民生活水平的不斷提高,汽車越來越成為人們生活中不可缺少的一部分,從世界上第一輛T型福特車被盜開始,偷車已成為現今城市最常見的犯罪行為之一。隨著汽車數量的增加,特別是轎車正以很快的速度步入家庭,車輛被盜的數量逐年上升,這給社會帶來極大的不安定因素,擔心車輛被盜,成為困擾每一位汽車用戶的難題。人們為了車輛的安全大都安裝了汽車防盜報警系統。汽車防盜報警器的使用在很大程度上有效地保護了國家和人民生命財產的安全。使人們有了安全的依靠。同時車輛防盜報警器的使用也減少了盜竊犯罪事件的發生,起到了一定的威懾作用。隨著科學技術的進步,為對付不斷升級的盜車手段,人們研制開發不同方式結構的防盜器。

目前汽車防盜裝置已經由初期的機械控制,發展成為電子密碼、遙控呼救、信息報警等高科技產品,早期的防盜裝置主要用于控制門鎖、門窗、起動器、制動器、切斷供油等聯鎖機構,以及為防止盜賊拆卸零件而設計的專用套筒扳手。隨著科技的發展,汽車防盜裝置日趨嚴密和完善,目前防盜器按其結構與功能可分四大類:機械式、電子式、芯片式和網絡式,各有優劣,但汽車防盜的發展方向是向智能程度更高的芯片式和網絡式發展[1]。

1、機械鎖

機械鎖是最常見也是最早的汽車防盜器,現階段在高中檔汽車中幾乎不被使用,即便是低檔汽車也已經很少單獨使用,主要和電子式、芯片式聯合使用,分為方向盤鎖和變速箱鎖兩大類。其中方向盤鎖在使用時,主要是將方向盤與制動腳踏板連接一起,使方向盤不能作大角度轉向及不能制動汽車。而安裝變速箱鎖是在換擋桿附近安裝變速鎖,可使變速箱不能換擋。通常在停車后,把換擋桿推回P位或Ⅰ擋位置,加上變速箱鎖,可使汽車不能換擋。方向盤鎖和變速箱鎖、鉤鎖等這些機械式防盜器,主要是靠鎖定離合、制動、油門或轉向盤、變速桿來達到防盜的目的,但只能防盜不能報警。另外,機械式防盜鎖靠堅固的金屬結構鎖住汽車的操縱部位,使用起來不隱蔽,且占用駕駛室空間;機械鎖主要起到限制車輛操作的作用,對防盜方面能夠提供的幫助非常有限,很難抵擋住生猛型盜車賊手中撬棍、鋼鋸、老虎鉗等重型工具的盜竊。但它們能拖延偷車賊作案的時間卻是事實,一般偷車賊要用幾十秒甚至幾分鐘才能撬開方向盤鎖,變速桿鎖的破壞時間還要長一點。

2、電子式防盜系統

在現階段,應用最廣泛的是電子式防盜鎖。機械防盜裝置是預防汽車被盜的裝置,但這種裝置不能防止他人進入駕駛室、車內,打開行李箱、發動機罩或起動發動機等。而電子防盜裝置不僅能可靠地防止汽車被盜,而且能防止他人拆卸某些汽車零件和進入車內。電子防盜報警器,也稱微電腦汽車防盜器,是目前使用最廣泛的類型,包括插片式、按鍵式和遙控式等電子式防盜器。它主要是靠鎖定點火或啟動系統來達到防盜的目的,同時具有聲音報警功能。這種防盜器共有4種功能:

(1)服務功能,包括遙控車門、遙控起動、阻嚇竊賊作用等;

(2)警惕提示功能,具有觸發報警記錄(提示車輛曾被人打開過車門);

(3)報警提示功能,即當有人動車時發出警報;

(4)防盜功能,當防盜器處于警戒狀態時,切斷汽車上的起動電路,使汽車無

法起動。

該類防盜器安裝隱蔽,功能齊全,無線遙控,操作簡便,但需要靠良好的安裝技術和完善的售后服務來保證。電子防盜系統的致命弱點在于其電子密碼和遙控操作方式,當車主用遙控器開關車門時,匿藏在附近的偷車賊可以用接收器或掃描器盜取遙控器發出的無線電波或紅外線,再經過解碼,就可以開啟汽車的防盜系統。另外這類電子防盜報警器的使用頻率普遍被限定在300~350MHz的業余頻段上,而這個頻段的電子波干擾源又多,電波、雷電、工業電焊等都會干擾它而產生誤報警。如上海通用別克系列轎車上配置的PasskeyⅡ和PasskeyⅢ防盜系統就是電子式防盜系統。

3、芯片式數碼防盜系統

電子防盜系統固然有其優點,但隨著時間的推移,人們研制出了更先進性的替代品,這就是目前在汽車防盜領域位居重點的芯片式數碼防盜器。由于特點突出且使用方便,大多數轎車均采用這種防盜方式作為原配防盜器。目前很多高檔車,如國產的大眾、廣州本田、派力奧、愛麗舍、畢加索等車型已裝有原廠的芯片數碼防盜系統。芯片式數碼防盜器基本原理是鎖住汽車的馬達、電路和油路,在沒有芯片鑰匙的情況下無法啟動車輛。數字化的密碼重碼率極低,而且要用密碼鑰匙接觸車上的密碼鎖才能開鎖,杜絕了被掃描的弊病。

    目前芯片式防盜已經發展到第四代,最新面世的第四代電子防盜芯片,具有特殊診斷功能,即已獲授權者在讀取鑰匙保密信息時,能夠得到該防盜系統的歷史信息。系統中經授權的備用鑰匙數目、時間印記以及其他背景信息,成為收發器安全特性的組成部分。第四代電子防盜系統除了比以往的電子防盜系統更有效地起到防盜作用外,還具有其他先進之處,如它獨特的射頻識別技術可以保證系統在任何情況下都能正確識別駕駛者,在駕駛者接近或遠離車輛時可自動識別其身份自動打開或關閉車鎖;無論在車內還是車外,獨創的TMS37211器件都能夠輕松探測到電子鑰匙的位置。國內不少廠商通過引進國外先進技術開發出了我國的芯片式防盜系統,如愛麗舍應答式防盜系統是在BOSCH MP5.2電噴系統的基礎上增加了一套新系統,它可以用來鎖定和解鎖發動機電腦,從而來實現車輛的防盜功能,當插入一把帶有應答器的正確鑰匙并打到“M”位時,系統自動完成對碼、解鎖發動機電腦,否則發動機電腦處于閉鎖狀態,發動機點火和噴油的控制被切斷,汽車無法起動。

4、網絡式防盜系統

網絡防盜是指通過網絡來實現汽車的開關門、啟動馬達、截停汽車、汽車的定位以及車輛會根據車主的要求提供遠程的車況報告等功能。網絡防盜主要是突破了距離的限制。目前主要使用的網絡有:無線網絡(BB機網絡)、衛星定位跟蹤系統(簡稱GPS),其中應用最廣的就是GPS。

GPS系統全稱為“全球衛星定位系統”。實際上,此技術是美國耗資100多億美元,歷時20多年發展的一大航天工程。1991年在海灣戰爭中被首次使用,海灣戰爭之后,GPS技術在非軍事領域得到了更加深入的應用,在汽車反劫防盜領域已 取得實際效果。GPS衛星定位汽車防盜系統屬于網絡式防盜器,它主要靠鎖定點火或起動來達到防盜的目的, GPS應用于汽車反劫防盜服務就得益于衛星監控中心對車輛的24小時不間斷、高精度的監控服務。該系統由安裝在指揮中心的中央控制系統、安裝在車輛上的移動GPS終端以及GSM通信網絡組成,接受全球定位衛星發出的定位信息,計算出移動目標的經度、緯度、速度、方向,并利用GSM網絡的短信息平臺作為通信媒介來實現定位信息的傳輸,具有傳統的GPS通信方案所無法比擬的優勢。缺點是價格昂貴,每月要交納一定的服務費。一些常見的品牌有賽格、華強、邁吉貝斯、大三通等等,這類產品因費用太高等各方面原因,目前在市場上還不普及,市場占有率非常低。

1.1.2  課題的目的和意義

本課題采用現代數字系統設計的思路和自頂向下的EDA設計方法,針對當今社會汽車防盜越來越重要的現實問題,通過VHDL語言軟件編程設計基于可編程器件的汽車電子防盜報警系統,達到了實時監測非法入侵、及時聲光報警、啟動/解除警戒和禁止非法使用發動機移動車輛等基本要求,為汽車防盜報警方面進一步研究,擴展更實用更全面的功能,設計更完善的產品打下了基礎。通過設計掌握EDA設計的基本思路、VHDL語言編程方法,有限狀態機的設計方法以及掌握開發軟件Xilinx ISE,仿真軟件Modelsim和綜合工具Leonado Spectrum的運用。

1.2  論文的主要內容

本文由五章的內容構成,緒論部分介紹了當今汽車防盜報警系統發展的狀況,說明了本課題的目的和意義以及論文主要內容。后面的四章對系統的設計過程和實現過程作了詳細闡述。第2章對系統進行整體分析和模塊劃分;第3章詳細介紹了系統四個模塊和系統頂層的程序設計編寫過程;第4章主要是系統四個模塊的獨立調試與仿真;最后一章是系統頂層的實現過程,也是整個系統的實現過程,這一章對系統實現的流程做了總體的介紹。

1.3  本章小結

本章主要介紹了當今社會汽車防盜報警系統發展狀況,現有的種類和特點以及本課題的目的和意義并對論文主要內容作了簡要概述。

第2章 汽車防盜報警系統整體設計

2.1  系統分析與設計

2.1.1  設計要求

本課題要求設計一種基于可編程邏輯器件的汽車防盜報警電子系統,完成軟件部分設計,得出系統功能仿真結果。其具體設計指標要求如下:

(1)啟動/解除警戒,燈亮表示啟動,燈滅表示解除警戒;

(2)實時檢測非法入侵,檢測到非法入侵,鎖住車門;

(3)禁止非法使用發動機移動車輛,一旦非法使用發動機,鎖止發動機并鎖住

車門;

(4)及時聲光報警,采用喇叭發聲和三個燈輪流點亮的方式進行聲光報警。

2.1.2  系統分析

從本系統的設計要求來分析,軟件設計可以采用原理圖設計和硬件描述語言設計。硬件描述語言(Hardware Description Language, HDL)屬于文本輸入方法的范疇,是一種用形式化方法來描述數字電路和設計數字邏輯的語言,這種設計方法也被稱為高層次設計(High-Level-Design)方法,其設計流程示意圖如圖2-1所示。

 

                          圖2-1 HDL設計流程的示意圖

相對于原理圖設計,硬件描述語言設計具有很多優點:開發效率高、便于移植、便于文檔管理、便于仿真、可以開發出非常復雜的數字系統等等。因此本系統采用硬件描述語言設計。硬件描述語言有VHDL語言和Verilog HDL語言,這兩種語言都具備HDL的優點,Verilog HDL推出比VHDL早,它模仿C語言,語法簡單,風格自由,比較容易掌握,而VHDL是基于Ada語言的,追求語法的嚴格性,學習起來相對煩瑣一點,但是嚴格的語法在一定程度上限制了錯誤的產生,調試起來可能會容易一些[2]。VHDL的系統抽象能力比Verilog HDL要強一些,在系統級的描述上占一定的優勢,本系統設計采用VHDL語言編寫程序代碼。

整個系統是一個數字系統,非法入侵信號采用數字信號模擬,用高電平‘1’表示車輛受到非法入侵,用低電平‘0’表示不存在非法入侵。燈光報警要求每個燈持續一個確定的時間,這就需要一個標準時鐘信號,而標準時鐘信號都是從外部晶振中得到的,本設計采用頻率為1MHZ的外部晶振,在設計中需要考慮時鐘的換算。

2.2  系統工作原理及模塊劃分           

系統的工作原理:啟動系統裝置,系統進入檢測信號狀態,同時檢測非法入侵信號和非法使用發動機的信號,若無非法信號,系統將一直處于檢測狀態,當檢測到非法入侵信號時,進行聲光報警,并鎖住車門;當檢測到非法使用發動機信號時,進行聲光報警,并鎖止發動機以及鎖住車門。解除系統報警,系統停止工作。

根據系統分析及系統工作原理,把整個系統劃分成四個模塊:啟動/解除警戒模塊、檢測信號模塊、聲音報警及鎖止模塊和光報警模塊 ,系統結構圖如圖2-2。

 

圖2-2 系統模塊構成圖

四個模塊的功能是:啟動/解除警戒模塊用以啟動或解除整個防盜報警系統,使裝置進入或退出正常工作狀態;檢測信號模塊檢測汽車是否存在異常移動、異常振動、異常提升、車身異常切斜和非法啟動發動機等異常情況;聲音報警及鎖止模塊發出聲音報警,并鎖住車門,鎖止非法啟動發動機信號;光報警模塊通過LED燈閃爍發出報警信號;

2.3  本章小結

本章主要介紹了系統設計的一些要求及性能指標,并對整個系統進行了分析,選擇了適合的設計輸入方式和硬件描述語言。通過分析系統的工作原理,對系統進行了模塊的劃分,總共劃分為四個模塊:啟動/解除警戒模塊、檢測信號模塊、聲音報警及鎖止模塊和光報警模塊。

第3章 系統軟件設計

3.1  VHDL編程語言

VHDL的全稱為VHSIC(Very High Speed Integrated Circuit)Hardware Description Language,它的中文譯名為超高速集成電路的硬件標準語言。模塊化和自頂向下,逐層分解的結構化設計思想貫穿于整個VHDL設計文件之中。VHDL將所設計的任意復雜的電路系統均看作一個設計單元,可以用一個程序文件來表示。一個完整的VHDL語言程序通常包含實體、構造體、配置、程序包和庫五個部分。實體是聲明到其他實體或其他設計的接口,即定義本設計的輸入/輸出端口;構造體是用來定義實體的實現,即電路的具體描述;配置為實體選定某個特定的結構體;程序包則用來聲明在設計或實體中將用到的常數、數據類型、元件及子程序等;庫用以存儲預先完成的程序包和數據集合體。這五個部分并不是每一個VHDL程序都必須具備的, 其中只有一個實體和一個與之對應的構造體是必須的。

VHDL編程語言具有以下主要優點[3]:

(1)具有強大的功能,覆蓋面廣,描述能力強,可用于從門級、電路級直至系

統級的描述、仿真和綜合。

(2)有良好的可讀性,它可以被計算機接受,也容易被讀者理解。用VHDL書

寫的既是源文件又是文檔。

(3)有良好的可移植性,作為一種已被IEEE承認的工業標準VHDL事實上已

成為通用的硬件描述語言,可以在不同的設計環境和系統平臺中使用。

(4)使用VHDL可以延長設計的生命周期。

(5)VHDL支持對大規模設計的分解和已有設計的再利用。

3.2  系統模塊設計

3.2.1  啟動/解除警戒模塊設計

此模塊用于啟動/解除整個系統,使系統進入/退出正常的工作狀態。用一個開關來控制系統的啟動和解除,并用一個led燈來顯示系統的狀態。開關接通,即輸入為高電平‘1’時,系統進入正常的工作狀態,led燈點亮。開關斷開時,輸入的為低電平‘0’,系統退出正常工作狀態,led燈熄滅。這用IF語句的雙路選擇結

構實現,其中sta表示開關控制信號,led1表示led 燈信號,程序見附錄。

3.2.2  檢測信號模塊設計

信號檢測模塊的任務是檢測非法入侵信號和非法啟動發動機信號,非法入侵信號包括汽車異常移動、異常振動、異常提升、車身異常切斜四個信號,總共五個非法信號。整個模塊采用有限狀態機來進行設計,下面先對有限狀態機作介紹。

狀態機是包括一組寄存器的電路,該寄存器的值稱為狀態機的狀態。狀態機的狀態不僅和輸入信號有關,而且還與寄存器的當前狀態有關。狀態機可以認為是組合邏輯電路和寄存器邏輯電路的特殊組合,它包括兩個主要部分:組合邏輯部分和寄存器部分。寄存器邏輯用于存儲狀態機的狀態,組合邏輯包括兩部分:狀態譯碼和輸出譯碼。狀態譯碼用于確定狀態機下各時鐘周期的狀態值,即確定狀態機的激勵方程,而輸出譯碼確定狀態機的輸出,即確定狀態機的輸出方程。

最一般和最常用的狀態機通常包括說明部分、狀態更新進程、狀態譯碼進程、輔助進程等幾個部分。說明部分用于說明狀態機中的狀態情況和定義狀態機的現態和次態;狀態更新進程就是狀態機的主控時序進程,它負責狀態機運轉和在時鐘驅動下負責狀態轉換的進程;狀態譯碼過程也就是主控組合進程,它的任務是根據外部輸入的控制信號(包括來自狀態機外部的信號和來自狀態機內部其它非主控的組合和時序進程的信號),或/和當前狀態的狀態值確定下一狀態的取向,以及確定對外輸出或對內部其它組合或時序進程輸出控制信號的內容。輔助進程用于配合狀態機工作的組合或時序進程。例如為了完成某種算法的進程,或用于配合狀態機工作的其它時序進程,或為了穩定輸出設置的數據鎖存器等[4]。

狀態機分為同步狀態機和異步狀態機。同步狀態機使用全局時鐘信號,所有寄存器的時鐘都是同一個,這使得狀態的改變只發生在時鐘的上升沿。異步狀態機則利用組合邏輯電路的傳播延遲來實現狀態的存儲功能,這樣的狀態機難于設計,而且很不穩定,一般情況設計同步狀態機。

在狀態機的輸出的產生過程中,根據輸出譯碼是否使用輸入信號可分為兩類狀態機:摩爾狀態機和米利狀態機。在摩爾狀態機中,輸出只與當前狀態有關,而在米利狀態機中,輸出不僅和當前狀態有關,還與輸入狀態有關。此模塊設計采用的是米利狀態機。米利狀態機的模型如圖3-1所示。

 

圖3-1 米利狀態機模型

本模塊總共八個輸入信號,其中五個非法信號分別為a1,a2,a3,a4,b,一個時鐘信號clk,一個復位信號 rst,一個啟動輸入信號start1;一個檢測結果輸出信號q。整個模塊采用一個米利狀態機來實現,它包括四個進程,分別是狀態更新進程,狀態譯碼進程,輔助進程和輸出譯碼進程。狀態機中設計了兩個狀態,為狀態st1和st2,狀態st1表示檢測信號狀態,狀態st2表示報警狀態。狀態轉移如圖3-2所示。

 

圖3-2 檢測信號模塊的米利狀態機轉移圖

一開始工作狀態機進入檢測信號狀態st1進行實時監測,當檢測到非法信號,狀態機轉移到報警狀態st2。在這里,非法信號為a1,a2,a3,a4,b,它們都是高電平‘1’有效,把這五個信號相或作為判斷條件,即是其中任一個非法信號有效,則判斷條件成立,狀態機就轉移到報警狀態。一旦進入報警狀態st2,將持續5s的報警,不管此時是不是還有非法信號存在,5s報警完成后,狀態機繼續判斷是不是還存在非法信號,如果存在,則繼續報警,否則回到檢測狀態st1繼續進行實時監測。

在程序的編寫中狀態更新進程是一個很重要的部分,它既用于狀態機的復位,同時也負責整個狀態機的狀態更新,此進程VHDL代碼如下:

process( clk , rst )     --每個時鐘上升沿,更新狀態

          begin

                 if rst = '1'  then                --狀態機復位

                   cur_state <= st0 ;

               elsif clk'event and clk = '1' then  --狀態更新   

                   cur_state <= next_state;

                 end if ;

         end process ;

狀態譯碼進程也是起著關鍵作用的一部分,在這里,它實現檢測信號狀態st1和報警狀態st2之間的轉移。處于報警狀態的時候必須有持續5s的報警狀態,這一功能將在輔助進程中實現,因而這里就需要設置一個標志位flag,并在程序中進行聲明,如下:

signal flag : std_logic;

且需要把標志位放到譯碼進程的敏感表中,flag作為st2時發生狀態轉移的一個條件。狀態機狀態轉移程序如下:

       case cur_state is

     when st1 => if (a1 or a2 or a3 or a4 or b) = '1' then

                    next_state <= st2;

                else

               next_state <= st1;

         end if;

     when st2 => if flag = '1'  then 

                       if (a1 or a2 or a3 or a4 or b) = '1'  then

                            next_state <= st2;

                  else

                            next_state <= st1;

                       end if;

                    else

                            next_state <= st2;

        end if;

          end case;

上面提到的持續5s的報警狀態將在輔助進程里實現,在這里采用一個計數器來實現這項功能,由于外部提供的是頻率1MHZ的晶振,所以計數器不能只是簡單的計5個數,而是需要先對計數器計數值進行換算,換算如下:1MHZ的晶振提供的時鐘的周期為1us,要計時5s,則必須計數5s/1us= 5000000次,換算成二進制,則為10011000100101101000000,計數器從0開始計數,則需要計數到10011000100101100111111。計數器在狀態st2中實現,但在狀態st1中需要先對計數器以及標志位f lag賦初值。在計數器計數的同時,同樣的也要對標志位flag進行賦值,以便跟譯碼進程關聯起來。計數器的程序編寫如下:

if clk'event and clk = '1' then               

                      if cnt = "10011000100101100111111"  then

                            cnt <= "00000000000000000000000";

                            flag <= '1';

                 else   cnt <=  cnt + '1';

                            flag <= '0';

                 end if;

             end if;

狀態機的前幾個進程編寫完成后,接下來就是編寫它的輸出進程。本狀態機為米利狀態機,輸出與輸入信號有關,這里有關的輸入信號為啟動輸入信號start1,它是高電平‘1’有效,因此在輸出條件判斷上不僅要判斷狀態機的狀態還要判斷輸入信號是否有效。

3.2.3  光報警模塊設計

本模塊用于光報警,通過三個led燈依次輪流點亮來發出報警信號,其中每個led燈點亮的時間均為2s。輸入信號總共有三個:時鐘信號clk,復位信號rst, 報警觸發信號q1;輸出為紅燈輸出r,綠燈輸出g,黃燈輸出y三個信號。此模塊用的也是一個狀態機來實現,它也由狀態更新進程,狀態譯碼進程,輔助進程和輸出譯碼進程四個進程組成,輔助譯碼進程用于實現三個led燈持續點亮時間的計數。與檢測信號模塊不同的是,它是一個摩爾型狀態機。摩爾狀態機的模型如圖3-3。

狀態機設計了四個狀態:無燈亮狀態s0,紅燈亮狀態s1,綠燈亮狀態s2,黃燈亮狀態s3。狀態轉移圖如圖3-4所示。

  圖3-3 摩爾狀態機模型

 

                      圖3-4 光報警模塊的摩爾狀態機轉移圖

狀態圖中的flag1,flag2,flag3為狀態機中設置的三個標志位,高電平‘1’有效,它們分別用于判斷紅,綠,黃三個狀態是不是已經計時夠2s,如果滿足這樣的條件,則作為s1,s2,s3三個狀態向下一狀態轉移的一個判斷條件。在判斷標志位之前,還需要判斷另一條件:q1是否為‘1’,如果為‘1’,則再判斷標志位是否有效,如果不為‘1’,則轉移到s0狀態。最開始狀態機處于無燈亮狀態,當輸入報警信號q1為有效電平高電平‘1’時,轉移到紅燈亮狀態s1,此時,如果q1持續為‘1’,則紅燈持續亮2S,即當flag1為‘1’時,就轉移到綠燈亮狀態s2,同樣的綠燈也持續點亮2s,當flag1為‘1’時,轉移到黃燈亮狀態s2,緊接著黃燈點亮2s,flag3為‘1’,然后又到紅燈點亮,這樣一直循環點亮發出報警信號。狀態機狀態轉移程序如下:

      when s0 =>if  q1= '1' then

                  next_state <= s1;

               else

             next_state <= s0;

         end if;

         when s1 => if q1 = '1' then

                 if flag1 = '1' then

                     next_state <= s2;

                 else

             next_state <= s1;

         end if;

          else   next_state <= s0;

          end if;              

        when s2 => if q1 = '1' then

                 if flag2 = '1' then

                     next_state <= s3;

                 else

                next_state <= s2;

                    end if;

                 else   next_state <= s0;

         end if;

        when s3 => if q1 = '1' then

                 if flag3 = '1' then

                     next_state <= s1;

                 else

                next_state <= s3;

                   end if;

                  else   next_state <= s0;

          end if;

紅,綠,黃三個led燈持續點亮的時間用三個計數器來實現,計數器寫在狀態機的輔助進程里。三個標志位flag1,flag2,flag3以及三個計數器都需要先在狀態s0的時候賦初值。外部提供的時鐘是頻率為1MHZ晶振,在設置計數器的計數值時,需要先進行換算,換算方式與檢測信號模塊中的計數器值換算方式一樣,經過換算,每個計數器計數的值為:0到111101000010001111111。當狀態機進入s1,s2,s3狀態任一狀態時,其對應的計數器開始計數,當計數到111101000010001111111時,即是計時完2s時,標志位置‘1’,這樣,s1,s2,s3三個狀態就可以循環轉移了。s1狀態下的計數器程序如下,s2,s3狀態下的計數器與此相似。

if clk'event and clk = '1'  then           

                   if cnt1 = "111101000010001111111" then

                        cnt1 <= "000000000000000000000";

                        flag1 <= '1';

              else  cnt1 <= cnt1 + '1';

                        flag1 <= '0';

              end if;

         end if;

摩爾狀態機的輸出進程只與當前狀態有關,與輸入信號無關,在本狀態機中,只要根據系統的當前狀態即可決定輸出值,當為無燈亮狀態s0時,三個輸出信號r,g,y全為‘0’;當為紅燈亮狀態s1時,只有r為‘1’;當為綠燈亮狀態s2時,只有g 為‘1’;當為綠燈亮狀態s3時,只有y為‘1’。

3.2.4  聲音報警及鎖止模塊設計

本模塊不僅用于實現聲音報警,還用于鎖止非法啟動發動機信號以及鎖住車門。輸入信號有:啟動信號start1,非法啟動發動機信號b1,非法信號q2。輸出信號有:鎖止發動機輸出led_b,鎖住車門輸出led_door,聲音報警輸出alarm。設計中先判斷啟動信號是否為有效信號高電平‘1’,如果有則判斷是否有非法啟動發動機信號,如果有就鎖止發動機,鎖住車門并發出聲音報警;如果沒有非法啟動發動機信號,就接著判斷是否存在其它的非法信號,若存在,則鎖住車門并發出聲音報警;如果啟動信號為‘0’,則所有輸出信號都為‘0’。此模塊用If語句中的多路控制語句來實現即可。

3.3  系統頂層設計

    完成系統的四個模塊設計后,就需要編寫系統的頂層文件,把整個系統連接起

來。在系統頂層的設計中,用構造體的結構描述方式進行程序的編寫。所謂構造體的結構描述方式,就是在多層次的設計中,高層次的模塊調用低層次的設計模塊,或者直接用門電路設計單元來構成一個復雜的邏輯電路的描述方法。這里就要用到component語句和component_instant語句,component語句的基本書寫格式如下:

COMPONENT 元件名                   

GENEIRC 說明;--參數說明              

PORT 說明;--端口說明

END COMPONENT

component_instant語句的基本書寫格式如下:

標號名:元件名

PORT  MAP(信號,…)

標號名加在元件名前,在該構造體的說明中該標號名一定是唯一的。下一層的端口信號與實際連接的信號用PORT  MAP的映射關系聯系起來。這里用的映射方式是在PORT  MAP端上加上component各輸入輸出端的名稱,用’=>’把信號關聯起來,這樣就可以很清楚的知道各信號是怎樣一一對應連接的[5]。

本系統的頂層設計的對外接口有輸入信號start,a1,a2,a3,a4,b,時鐘信號clk,復位信號rst, 輸出信號led1,led_b,led_door,r,g,y,alarm。在設計中先對對外端口 進行聲明,接著要申明的是在頂層設計中用到的四個模塊的component,其聲明的位置是在architecture與begin之間。接著還要聲明一些內部信號,作為component間連接用,在這里只需要聲明一個信號aa,它用于連接檢測信號模塊與光報警模塊、聲音報警及鎖止模塊。最后在architecture的begin與end間,則是用component_instant將信號相連接。這樣,整個系統設計就完成了。整個系統的程序源代碼見附錄。

3.4  本章小結

在系統軟件設計這一部分,先對要用的編程語言VHDL做了相關的介紹,列出了它的一些優點。在本章中對系統的各個模塊以及系統頂層的設計作了詳細的說明。啟動/解除警戒模塊通過led燈顯示系統工作狀態,啟動警戒燈亮,解除警戒燈滅;檢測信號模塊采用米利型有限狀態機實現,實現了實時監測系統的功能;光報警模塊采用摩爾型有限狀態機來實現,實現了紅綠黃三個led燈依次輪流閃爍進行光報警的功能,其中每個燈亮兩秒;聲音報警及鎖止模塊和光報警模塊實現了聲音報警,并具有鎖住車門,鎖止汽車發動機的功能。系統頂層設計是整個設計的一個重要組成部分,主要通過利用構造體的結構描述方式把整個系統連接了起來,實現所有子模塊的功能。

第4章 系統模塊實現

4.1  調試與仿真綜合軟件介紹

系統用VHDL語言編程,采用VHDL語言仿真軟件Xilinx ISE進行代碼調試。Xilinx ISE集成綜合環境是美國Xilinx公司的現場可編程邏輯器件數字電路開發工具集,其集成的工具可以使設計人員方便、快速的完成FPGA/CPLD數字開發電路全過程。Xilinx ISE是Xilinx公司EDA軟件開發系統,是一個集成化環境,主要由項目導航工具,設計輸入工具,邏輯綜合工具,設計實現工具,設計約束圖形編輯接口等組成一個平臺。項目導航工具是基本窗口界面,用來訪問ISE軟件系統的各種工具箱;設計輸入工具包括:電路邏輯圖輸入工具——電路圖編輯器、硬件描述語言輸入工具——硬件描述語言編輯器、狀態機編輯器、硬件描述語言測試生成器;邏輯綜合工具將硬件描述語言代碼經過綜合優化后輸出EDIF格式電路邏輯連接(網表);設計實現工具用于面向FPGA的設計實現中的布局布線,并且可以對網表反標注以便提供給仿真工具進行后仿真驗證;設計約束圖形編輯接口包含圖形化的約束編輯接口,實現控制邏輯塊的位置約束和時間約束[6]。

系統采用Xilinx ISE進行調試,完成了設計輸入并成功的進行了編譯,只能說明設計符合一定的語法規范,并不能保證設計可以獲得所期望的功能,這時就需要通過仿真對設計進行驗證,這里就要用到第三方軟件—Model Technology公司的ModelSim仿真軟件。ModelSim是一個獨立的仿真工具,它在工作的時候并不需要其它軟件的協助,在Xilinx公司的ISE集成開發環境中給ModelSim仿真軟件預留了接口,通過這個接口可以從ISE集成環境中直接啟動ModelSim工具進行仿真[7]。使用此方法啟動ModelSim工具需要具備三個條件:第一,啟動ISE集成環境并建立一個FPGA/CPLD的工程項目;第二,添加設計源代碼并且編譯通過;第三,使用ISE中的Test Fixture 或Test Bench Waveform工具為當前的設計提供一個測試模板(Testbench),并且在測試模板中添加設計激勵,或者在相應的工程下建立一個sim.do文件,在文件里設置信號激勵。ModelSim仿真工具在默認條件下提供九種不同的用戶窗口:主窗口、結構窗口、源程序窗口、信號窗口、進程窗口、變量窗口、數據流窗口、波形窗口以及列表窗口等。本設計中主要用到的是主窗口和波形窗口。主窗口在ModelSim啟動時就直接打開,是所有其他窗口運行的基礎,它分為工作區和腳本區,通過工作區可以很方便的對當前工程的工作庫以及所有打開的數據集合進行控制,通過腳本區可以在ModelSim的提示符下輸入所有ModelSim的各種命令,并且可以將命令執行后的信息反饋回來,以便用戶了解命令的執行情況。通過波形窗口可以觀察仿真的波形文件。

系統仿真完畢,接著需要用綜合工具進行綜合。Xilinx ISE軟件有內嵌的綜合工具XST,雖然安裝ISE后可以直接使用XST,但是它的綜合功能不全面,相對綜合能力較差一點。因此本設計采用Mentor Graphics公司的Leonardo Spectrum綜合工具進行系統綜合。Leonardo Spectrum提供了先進的綜合技術,支持增量設計、模塊化設計,以及小組設計方法。簡單易用,可控性較強,可以在Leonardo Spectrum中綜合優化并產生EDIF文件。在ISE設計環境中,ISE提供與Mentor Graphics 的Leonardo Spectrum的無縫集成。 只需按一個按鈕,就可開始綜合系統。

4.2  系統模塊實現

雙擊桌面上的Project Navigator的快捷方式打開ISE開發環境,點擊主菜單上Edit->preferences,出現一個preferences,點擊Integrated Tools,在Model tech Simulator下面的路徑欄選擇modelsim.exe的路徑,在LeonardoSpectrum下面的路徑欄選擇leonardo.exe的路徑,然后確定,這樣就在ISE中把ModelSim以及LeonardoSpectrum關聯起來了。接著就可以通過主菜單上File->New Project 建立新的工程了。新建工程中,其Project Properties的設置圖4-1所示:

 

                 

圖4-1 工程屬性設置

建立工程后,在Process View窗口中右鍵點擊Compile HDL Simulation Libraries,

選擇Properties,出現一個窗口,在Target Simulator項選擇ModelSim SE,在Simulator

Path項選擇Modeltech下Win32文件夾的路徑,確定,然后雙擊Compile HDL Simulation Libraries,就進行ModelSim仿真庫的編譯了,只有編譯了仿真庫,之后的仿真才能順利的進行。

4.2.1  啟動/解除警戒模塊實現

建立了新的工程,在工程中添加新的on_off.vhd源文件,在源文件寫入啟動/解除報警模塊的程序代碼,保存以后就可以進行編譯了。這個程序比較的簡單,編譯一次就通過了。這時就可以通過ModelSim進行仿真了,仿真之前先在對應的程序目錄下新建一個sim.do文件。此模塊sim.do的內容如下:

force sta 0 0,1 100,0 1000,1 2000,0 5000

run 10000

force和run都是命令詞,force命令給VHDL信號予以激勵,它的常規語法如下:

force <item_name> <value> <time>,<value> <time>

item_name表示被激勵的信號的名稱;value是被強制的項的值,它必須適合項的數據類型;time指指定值的時間單位,它是相對于當前的仿真時間。run命令后面的參數表示仿真即將運行的時間 。

通過ISE啟動ModelSim仿真環境,然后選擇Workspace下的Library,接著點擊主菜單上的Tools->Execute Macro…,出現一個窗口,選擇對應的do確認,仿真開始運行,完成后即可在仿真波形窗口看到仿真波形。在這里需要強調一點,在仿真之前一定要選擇Workspace下的Library,因為環境的默認項是sim選項,如果不進行選擇或則選擇其它項,仿真就會出現錯誤,不能順利仿真。在剛開始仿真的時候,本人就沒有注意到這一點,結果就出現問題了。啟動/解除警戒模塊的仿真波形圖如圖4-2。

觀看波形圖,通過分析知,功能仿真結果符合程序編寫要求。之后,通過Leonardo Spectrum 綜合工具對本模塊進行綜合實現。

4.2.2  檢測信號模塊實現

    在建立的新工程里添加一個新的源文件test.vhd,把設計好的信號檢測模塊的VHDL語言程序代碼寫入到文件中,保存。接下來的操作都與啟動/解除報警模塊的類似。最先設計這個模塊的程序編寫的時候,用的狀態機是摩爾型的,除了檢測

圖4-2 啟動/解除警戒模塊的仿真波形圖

信號狀態和報警狀態,還多設置了一個等待狀態s0,它通過輸入信號led1的值來判斷狀態機的下一個轉移,即是當整個系統啟動時,由等待狀態進入檢測信號狀態。由于設置了這一狀態,因此在狀態機的輸出進程中就與輸入信號無關了。當時理論上分析認為這樣的設計應該是可以實現想要的功能的,可通過分析仿真結果,發覺這樣的設計并不能完全的實現模塊應有的功能。一開始系統開啟,得到的結果是正確的,可開啟之后再解除,狀態機卻仍然在狀態s1,s2之間轉換,輸出結果仍然有效,這樣的話,就沒有實現該實現的功能。結果不正確,就需要修改源程序,通過多次的修改和調試,最后在程序中用米利型狀態機狀態機設計,功能仿真結果相符。由于源程序中使用了23位的二進制計數器,計數的值太大,在仿真中耗時太長,因而在程序中把計數器設置為8位的二進制計數器,這樣就能比較及時的看到和分析仿真結果,這樣的修改不影響模塊的功能實現。

仿真前建立的sim.do中,始終信號的設置如下,在下面的語句中-rep 10表示以10ns為時鐘周期進行循環設置,成為一個時鐘信號。

                    force clk 0 0,1 5,0 10 -rep 10

通過調試仿真,得出檢測信號模塊的仿真波形圖如圖4-3,4-4。仿真完畢,運用 Leonardo Spectrum 綜合工具對本模塊進行綜合。

4.2.3  光報警模塊實現

    這個模塊在調試仿真的過程中出現了不少問題,經過多次修改,才實現了模塊要求的功能。在最開始設計的時候,本意也是用狀態機來實現,不過在程序中只設置了一個計數器,且把計數器單獨放到一個進程里實現,而在狀態機進程中就沒有設置輔助進程,在狀態轉移進程里根據計數器的取值范圍了確定當前狀態和下一狀

 

                        圖4-3 檢測信號模塊仿真整體波形圖

 

圖4-4 檢測信號模塊仿真部分波形圖

態,從理論上來看這樣是可以實現的,但是通過調試仿真,狀態機的四個狀態并不能按預想的那樣正常運轉。經過思考和分析,找出了不妥的地方:整個程序并不能說是一個狀態機,因為計數器進程是單獨的進程,并不屬于狀態機,這樣,程序里狀態機部分調用的計數器的值就不能使狀態機正常的運轉。首次調試失敗后,進行了重新設計,把整個程序設計成一個摩爾狀態機,這次設置了三個計數器和三個標志位,把計數器放在狀態機的輔助進程里實現。程序編譯成功后,又進行了仿真,雖然這次要比上次的好很多,但是功能還是沒有完全的符合要求,當系統開啟,解除,再開啟警戒的時候,報警燈并不是先從紅燈開始報警,而是隨機的。這樣當然不行,經過檢查分析程序,在s1,s2,s3的轉移條件中加上了對輸入信號q1的值的判斷,再進行調試仿真,完全實現了模塊要求的功能。由于源程序中使用的是21位的二進制計數器,計數的值太大,仿真耗時太長,因而在程序中把計數器設置為8位的二進制計數器,這樣就能比較及時的看到分析仿真結果,這樣的修改不影響模塊的功能實現。光報警模塊的仿真波形圖如圖4-5,4-6。仿真完畢,通過Leonardo Spectrum 綜合工具對本模塊進行綜合實現。

 

圖4-5 光報警模塊仿真整體波形圖

4.2.4 聲音報警及鎖止模塊實現

    這個模塊的程序編寫比較簡單,在調試仿真的過程中比較順利,其仿真波形圖如圖4-7。仿真完畢,通過Leonardo Spectrum 綜合工具對本模塊進行綜合實現。

 

圖4-6 光報警模塊仿真部分波形圖

 

圖4-7 聲音報警及鎖止模塊仿真波形圖

4.3 本章小結

這一章簡單介紹了調試軟件Xilinx ISE集成開發環境,仿真軟件ModelSim 和綜合工具Leonardo Spectrum,對系統模塊程序的調試步驟作了簡要的說明,重點介紹了在調試過程中遇到的一些問題以及解決的方法,并給出了四個模塊獨立的仿真波形圖。

第5章 系統頂層實現

5.1 系統頂層仿真

系統的四個模塊通過編譯,仿真綜合完畢后,就開始進行系統頂層的調試。進行系統頂層調試前,需要先把各個模塊添加到系統頂層目錄下,并需要先一一的對四個模塊進行編譯,仿真和綜合。完成準備工作,就可以開始編譯系統頂層。編譯的過程沒有出現大的問題,但在仿真中卻出現了問題,功能仿真結果與所要求實現的功能不一致,主要是沒有實現光報警的功能。而各個模塊單獨調試的時候是完全實現了相應的功能的,可見問題出現在系統頂層的程序編寫中。經過仔細的分析和多次調試,找到了問題所在。在頂層程序中,最開始設置的中間信號有問題,原來設置的為q,q1,q2,設置的中間信號與實際需求不相符,而q,q1,q2在模塊的設計中已經存在,這樣在頂層程序中有可能引起混亂,因而就無法實現所有的功能。之后,對設置的中間信號進行修改,只設置了一個中間信號aa,用它把模塊中的q,q1,q2按相應的關系連接起來。再次進行編譯仿真,仿真結果符合要求,其功能仿真波形圖如圖5-1,5-2所示。

 

圖5-1 系統頂層仿真整體波形圖

 

圖5-2 系統頂層仿真部分波形圖

5.2 系統頂層綜合

系統頂層也使用Mentor Graphics公司 的Leonardo Spectrum綜合工具進行綜合。雙擊Synthesis-Leonardo Spectrum開始進行綜合,綜合的一切信息都在信息顯示窗口顯示。綜合完畢,通過Launch Tools可以查看系統綜合的一些情況。Launch Tools下包括三個選項View RTL Schematic, View Technology Schematic, View Critical Path Schematic。View RTL Schematic可以查看 系統設計的寄存器傳輸級原理,它可以幫助理解設計的源代碼。View Technology Schematic查看綜合優化后的結構視圖,視圖模塊為FPGA/CPLD的硬件原語,幫助理解電路綜合結果,分析關鍵路徑。View Critical Path Schematic顯示設計的關鍵路徑,關鍵路徑是最影響工作速度或時序約束的的路徑。通過View RTL Schematic查看到本系統的寄存器傳輸級原理圖如圖5-3所示。

5.3 系統引腳鎖定

完成了綜合部分,緊接下來就是系統引腳的鎖定。引腳的鎖定通過約束編輯器

PACE實現,在資源管理窗選中設計的頂層模塊,選擇User Constraints 下的Assign

Package Pins命令,則生成一個UCF文件,此時就啟動PACE進行引腳位置鎖定。

 

圖5-3 系統的寄存器傳輸級原理圖

PACE主要由設計瀏覽窗口(Design Browser Window),設計對象列表窗口(Design Object List Window),器件結構窗口(Device Architecture Window),引腳封裝窗口(Package Pins Window)和引腳封裝圖例窗口(Package Pins Legend Window)等部分組成。PACE約束引腳位置的基本方法有兩種:第一種引腳鎖定的方法是在Design Object List Window中雙擊信號的位置屬性(Location)選項,直接指定引腳位置。第二種方法是在Design Object List Window中選定需要約束管腳位置的信號,用鼠標拖到引腳封裝窗口的相應位置。系統引腳鎖定情況如圖5-4所示。引腳鎖定完成后,保存用戶約束文件,退出PACE。

5.4系統實現過程及布線后仿真

系統實現(Implement)就是將綜合輸出的邏輯網表翻譯成所選器件的底層模塊與硬件語言,將設計映射到器件結構上,進行布局布線,達到在選定器件上實現設計的目的。實現主要分為三個步驟:翻譯(Translate)邏輯網表,映射(Map)到

器件單元和布局布線(Place & Route)。右鍵點擊Implement Design,選擇Properties,

可以對實現過Implement Design,實現過程的三個步驟依次進行實現,實現過程的一切信息都在程的三個步驟進行屬性設置,本設計中默認軟件的屬性設置。雙擊

 

                      圖5-4 系統引腳鎖定圖

信息顯示窗口顯示。

實現過程完成后,進行布局布線后仿真。將布局布線的時延信息反標到設計網表中,所進行的時序仿真就叫布局布線后仿真,簡稱布線后仿真。布線后仿真步驟必須進行,以確保設計功能與FPGA實際運行情況相一致。展開當前資源操作窗口的實現項目,雙擊布局布線項目下的Generate Post-Place & Route Simulation Model命令,產生布局布線后仿真模型。Xilinx 自動產生的仿真模型名為“top_timesim.vhd”。仿真延時信息文件名為“top_timesim.sdf”。后仿真模型文件自動調用SDF延時文件,將延時信息反標到仿真模型中。調用Modelsim進行布線后仿真,仿真結果與原結果一致,如圖5-5所示。

5.5 使用iMPACT進行下載配置

iMPACT是ISE集成的配置工具,具有生成PROM格式的下載文件、向FPGA/CPLD/PROM下載配置文件、驗證配置數據是否正確等功能。iMPACT支持四種下載模式:邊界掃描(Boundary Scan)模式,從串(Slave Serial)模式、SelectMap模式和Desktop配置模式。邊界掃描模式標準統一、設備簡單,可以通過JTAG口配置FPGA/CPLD/PROM等多種器件,因而用得也最多。本設計也是采用邊界掃描

模式。用iMPACT配置FPGA的過程分為兩步,第一步是生成一個BIT文件,準備PROM等配置文件;第二步是下載配置文件。這兩步操作步驟利用配置向導完成。

使用iMPACT生成PROM配置文件如圖5-6所示。

 

圖5-5 布線后功能仿真波形圖

 

                圖5-6 使用iMPACT生成PROM配置文件

使用iMPACT下載配置文件,選擇配置連接方式Boundary-Scan Mode,接著選擇Boundary-Scan連接檢測方式Automatically connet to cable and identify Boundary-Scan chain, 完成后iMPACT將自動連接到下載電纜并檢測Boundary-Scan連接鏈,顯示邊界掃描鏈的結構,同時提示將為邊界掃描鏈中的器件配置文件。完成配制文件指定后,用鼠標選中器件,單擊鼠標右鍵彈出命令菜單,選中Program命令,設置編程屬性,確定后對PROM進行編程。

5.6 本章小結

   本章對系統設計的整個流程進行了總體介紹,對系統頂層的調試仿真過程作了詳細的說明,通過仿真得到了系統的功能仿真波形圖。文中把整個設計進行了綜合優化,得到系統寄存器傳輸原理圖和結構視圖。系統后端的布局布線、布線后仿真以及下載配置運用Xilinx ISE實現。文中對系統后端實現也做了詳細的說明,并給出了相應的一些圖形。

結    論

本系統是基于可編程邏輯器件的軟件設計,根據EDA設計的思路,采用了自頂向下的設計方法進行汽車防盜報警系統的設計。整個系統劃分為四個模塊:啟動/解除警戒模塊、檢測信號模塊、聲音報警及鎖止模塊和光報警模塊。啟動/解除警戒模塊通過led燈顯示系統工作狀態,啟動警戒燈亮,解除警戒燈滅;檢測信號模塊采用米利型有限狀態機實現,實現了實時監測系統的功能;光報警模塊采用摩爾型有限狀態機實現,實現了紅綠黃三個led燈依次輪流閃爍進行光報警的功能,其中每個燈亮兩秒;聲音報警及鎖止模塊實現了聲音報警,并具有鎖住車門,鎖止汽車發動機的功能。四個模塊通過系統頂層連接起來,實現了汽車防盜報警的功能。程序采用Xilinx ISE進行前端設計輸入、后端布局布線及配置下載;用Modelsim軟件對系統進行功能仿真,得到了各個模塊和整個系統的功能仿真波形圖;用Leonado Spectrum進行綜合,得到系統綜合優化后的寄存器傳輸級原理圖和結構視圖。

本設計雖然實現了汽車防盜報警的功能,但是設計中還是有許多需要改進的地方。在聲音報警方面,可以設計喇叭發出不同頻率的報警聲音。在對外部時鐘信號的處理上,可以在系統中增加時鐘分頻模塊先對時鐘信號進行分頻,這樣,在計數的時候就可以設置小數值的計數器。整個汽車防盜報警系統的功能比較的簡單,在功能方面還有很大改進和擴展空間,比如設置靜音防盜功能,自動提示開啟防盜等。靜音防盜功能即是在系統正常工作狀態下,若有非法入侵信號,喇叭不響,但不影響防盜功能;自動提示開啟防盜功能就是在汽車停泊后一定的短時間內,系統發出提示音提醒車主開啟防盜系統等等。

致    謝

首先感謝我的畢業設計的導師熊莉英老師,感謝她的督促和耐心的指導,同時也感謝在中電網論壇上幫助我的ID是“pe ngyoubieku”和“一切從零”的朋友以及幫助過我的同學們。在你們的幫助下,我才能更深刻的了解此次設計的任務目的,做出今天的成果。從你們的幫助中,我不僅學到了更多的專業知識,也學到了很多做人的道理。在此,我真心的感謝你們。

參考文獻

[1] 肖軍.現代汽車防盜看好智能化的發展方向[J].交通與運輸,2006,2:38-39.

[2] 求是科技,張立科.CPLD/FPGA應用開發技術與工程實踐[M].北京:人民郵電出版社,2005:3-21,245-280.

[3] 潘松,黃繼業.EDA技術與VHDL[M].北京:清華大學出版社,2005:5-16.

[4] 齊洪喜,陸穎.VHDL電路設計使用教程[M].北京:清華大學出版社,2004:10-22.

[5] 侯伯亨,顧新.VHDL硬件描述語言與數字電路邏輯設計(修訂版)[M].西安:西安電子科技大學出版社,1999:65-72.

[6] 段有艷.基于Xilinx ISE軟件平臺用VHDL實現FPGA電路設計[J].昆明冶金高等專科學校學報,2005,22(3)76-80 .

[7] 王誠,薛小剛,鐘信潮.FPGA/CPLD設計工具——Xilinx ISE 5.X使用詳解[M].北京:人民郵電出版社,2003:86-96,121-178.

[8] 吳佳鳳,肖安,聶兵.基于VHDL的有限狀態機設計方法與實現[J].武漢工業學院學報,2005,

25(1):12-14.

[9] 張常年.基于VHDL語言的遠程撥號智能預警系統[J].計算機應用,2002,22(1):47-49.

[10] 劉桂華,馬建國.基于VHDL語言的智能撥號報警器的設計[J].電子技術應用,2001,3:78-80.

[11] 張曦,李文元,丁潤濤.基于CPLD防盜報警系統設計[J].電子測量技術,2004,3:20-21.

[12] 張文英,鄒晴,柴燕.基于VHDL語言的數字電路設計[J].中國儀器儀表,2003,3:95-96.

[13] 付家才.EDA工程實踐技術[M].北京:化學工業出版社,2005:93-132.

.The Seventh International Conference on Electronic Measurement and Instruments,2005,7:647-649.

[15] Xilinx Inc. ISE Quick Start Tutorial. Xilinx[M].Xilinx,2003:11-41.

[16] Steven Golson. State machine design techniques for Verilog and VHDL[J].Carlisle ,1994:2-22.

[17] Douglas L.Perry. VHDL Programming by Example[M].McGraw-Hill,2002:270-295.

附    錄

1、啟動/解除警戒模塊程序源代碼on_off.vhd

library IEEE;

use IEEE.STD_LOGIC_1164.ALL;

use IEEE.STD_LOGIC_ARITH.ALL;

use IEEE.STD_LOGIC_UNSIGNED.ALL;

entity on_off is

    Port ( sta : in std_logic;

         led1 : out std_logic);

end on_off;

architecture one of on_off is

begin

process ( sta )

begin

    if sta = '1'  then

        led1 <= '1';

    else led1 <= '0';

    end if;

  end process;

end one;

2、檢測信號模塊程序源代碼test.vhd

library IEEE;

use IEEE.STD_LOGIC_1164.ALL;

use IEEE.STD_LOGIC_ARITH.ALL;

use IEEE.STD_LOGIC_UNSIGNED.ALL;

entity test is

    Port ( clk : in std_logic;    --頻率1MHZ的時鐘信號

         rst : in std_logic;        --復位信號

         a1 : in std_logic;       --汽車異常移動信號

         a2 : in std_logic;       --汽車異常振動信號

         a3 : in std_logic;       --汽車異常提升信號

         a4 : in std_logic;       --汽車車身異常傾斜信號

         b : in std_logic;       --非法啟動發動機信號

         start1 : in std_logic;      --啟動檢測系統觸發信號

         q : out std_logic);        --檢測結果輸出

end test;

architecture one of test is

type states is ( st1, st2 );              --st1:檢測狀態;st2:報警狀態

signal cur_state, next_state: states;     --定義當前狀態和下一狀態

signal flag: std_logic; --標志位

signal cnt: std_logic_vector ( 7 downto 0 );      --定義計數,仿真用此語句

--signal cnt: std_logic_vector ( 22 downto 0 );    --實際用以下語句

begin

   process (clk, rst )                      --每個時鐘上升沿,更新狀態

   begin

       if rst = '1' then                   --狀態機復位

       cur_state <= st1;

     elsif clk'event and clk = '1' then     --狀態更新    

       cur_state <= next_state;

       end if;

   end process;

  

process ( cur_state, next_state, a1, a2, a3, a4, b, flag )

   begin

       case cur_state is

     when st1 => if ( a1 or a2 or a3 or a4 or b ) = '1'  then

                    next_state <= st2;

               else

               next_state <= st1;

          end if;

     when st2 => if flag = '1'  then 

                          if ( a1 or a2 or a3 or a4 or b ) = '1' then

                                next_state <= st2;

                      else

                                next_state <= st1;

                           end if;

                        else

                                 next_state <= st2;

              end if;

                    end case;

    end process;

process ( clk, cur_state )    

    begin

       case cur_state is

         when st1 => cnt <= "00000000"; 

                   flag <= '0';   

         when st2 => if clk'event and clk = '1' then                  

                      if cnt = "10011000" then

                            cnt <= "00000000";

                            flag <= '1';

                 else   cnt <= cnt + '1';

                            flag <= '0';

                 end if;

              end if;

       end case;

end process;

process ( clk, rst, cur_state )           --狀態機輸出

    begin

       if rst = '1' then

          q <= '0';

       else

          if clk'event and clk = '1' then

             if cur_state = st2 and start1 = ’1’ then

             q <= '1';  

             else 

             q <= '0';

                  end if;

           end if;

       end if;

end process;

end one;

3、光報警模塊程序源代碼led.vhd

library IEEE;

use IEEE.STD_LOGIC_1164.ALL;

use IEEE.STD_LOGIC_ARITH.ALL;

use IEEE.STD_LOGIC_UNSIGNED.ALL;

entity led is

    Port ( clk : in std_logic;    --頻率1MHZ的時鐘信號

         rst : in std_logic;     --復位信號

         q1 : in std_logic;    --報警觸發信號

          r : out std_logic;    --紅燈輸出

          g : out std_logic;    --綠燈輸出

          y : out std_logic);   --黃燈輸出

end led;

architecture one of led is

type state is ( s0, s1, s2, s3 );

signal per_state, next_state: state;           --定義當前狀態和下一狀態

signal cnt1, cnt2, cnt3: std_logic_vector ( 7 downto 0 ) ;   --仿真用此語句

--signal cnt1, cnt2, cnt3: std_logic_vector ( 7 downto 0 ) ;  --實際使用此語句

signal flag1, fl ag2, flag3: std_logic;

begin

  process ( rst, clk )               --每個時鐘上升沿,更新狀態

  begin

      if rst = '1'then                --狀態機復位

       per_state <= s0;  

   elsif clk'event and clk ='1 'then   --狀態更新

       per_state <= next_state;

      end if;

  end process;

  process ( per_state, next_state, q1, flag1, flag2, flag3 )  --狀態轉移進程

    begin

      case per_state is

     when s0 => if q1 ='1'  then

                  next_state <= s1;

              else

             next_state <= s0;

         end if;

        when s1 =>if q1 ='1'  then

                   if flag1 = '1' then

                       next_state <= s2;

                   else

                  next_state <= s1;

           end if;

          else  

next_state <= s0;

         end if;              

       when s2 => if q1 ='1'  then

                 if flag2 ='1'  then

                     next_state <= s3;

                 else

                 next_state <= s2;

                   end if;

                else   

next_state <= s0;

        end if;

       when s3 => if q1 = '1' then

                 if flag3 = '1' then

                     next_state <= s1;

                 else

                next_state <= s3;

                    end if;

                else  

next_state <= s0;

        end if;

    end case;

end process;

process ( per_state, clk )

begin

   case per_state is

        when s0 => cnt1 <= "00000000";

               flag1 <= '0';

          cnt2 <= "00000000";

               flag2 <= '0';

          cnt3 <= "00000000";

              flag3 <= '0';

     when s1 => if clk'event and clk = '1' then               

                      if cnt1 = "11110100" then

                           cnt1 <= "00000000";

                           flag1 <= '1';

                 else 

cnt1 <=  cnt1 + '1';

                           flag1 <= '0';

                end if;

          end if;

     when s2=>if clk'event and clk = '1' then              

                      if cnt2 = "11110100" then

                            cnt2 <= "00000000";

                            flag2 <= '1';

                 else  

cnt2 <= cnt2 + '1';

                            flag2 <= '0';

                 end if;

           end if;

    when s3 => if clk'event and clk = '1' then               

                      if cnt3 = "11110100" then

                           cnt3 <= "00000000";

                           flag3 <= '1';

                 else  

cnt3 <= cnt3+'1';

                           flag3 <= '0';

                 end if;

          end if;

   end case;

end process;

process ( clk, rst, per_state )   --狀態輸出進程

  begin

    if rst = 1' then

       r <= '0'; g <= '0'; y <= '0';

    else

       if clk'event and clk = '1' then

         if per_state = s0  then

             r <= '0'; g <= '0'; y <= '0';

      else

            if per_state = s1  then

                 r <= '1';g <= '0'; y <= '0';

            else

               if per_state = s2 then

                 r <= '0'; g <='1' ;y <= '0';

               else

           if per_state = s3  then

                       r <= '0'; g <= '0'; y <= '1';

                  else  r <= '0'; g <= '0'; y <= '0';

                  end if;

               end if;

            end if;

         end if;

       end if;

     end if;

end process;     

end one;

4、聲音報警及鎖止模塊程序源代碼sound.vhd

library IEEE;

use IEEE.STD_LOGIC_1164.ALL;

use IEEE.STD_LOGIC_ARITH.ALL;

use IEEE.STD_LOGIC_UNSIGNED.ALL;

entity sound is

    Port ( start1: in std_logic; 

           b1 : in std_logic;      --非法啟動發動機信號

           q2 : in std_logic;      --非法信號

           led_b : out std_logic;     --鎖止發動機輸出

           led_door : out std_logic;  --鎖止車門輸出

           alarm : out std_logic);  --聲音報警

end sound;

architecture one of sound is

begin

processs ( b1, q2, start1 )

    begin

     if start1 = '1' then

         if b1 = '1' then 

            led_b <= '1';

         led_door <= '1';

         alarm <= '1';

     else  

         if q2 = '1' then

            led_b <= '0';

         led_door <= '1';

         alarm <= '1';

           else

         led_b <= '0';

         led_door <= '0';

         alarm <= '0'; 

          end if;

       end if;

     else   

        led_b <= '0';

     led_door <= '0';

    alarm <= '0';

     end if;

  end process;

end one;

5、系統頂層程序源代碼top.vhd

library IEEE;

use IEEE.STD_LOGIC_1164.ALL;

use IEEE.STD_LOGIC_ARITH.ALL;

use IEEE.STD_LOGIC_UNSIGNED.ALL;

entity top is

      Port ( clk : in std_logic;

           rst : in std_logic;

           a1 : in std_logic;

           a2 : in std_logic;

           a3 : in std_logic;

           a4 : in std_logic;

           b : in std_logic;

           start : in std_logic;

           led1 : out std_logic;

           r : out std_logic;

           g : out std_logic;

           y : out std_logic;

           led_door : out std_logic;

           led_b : out std_logic;

           alarm : out std_logic );

end top;

architecture one of top is

COMPONENT on_off

    Port ( sta : in std_logic;

           led1 : out std_logic);

end COMPONENT;               

COMPONENT test

    Port ( clk : in std_logic;     --頻率1MHZ的時鐘信號

         rst : in std_logic;      --復位信號

         a1 : in std_logic;     --汽車異常移動信號

         a2 : in std_logic;     --汽車異常振動信號

         a3 : in std_logic;     --汽車異常提升信號

         a4 : in std_logic;     --汽車車身異常傾斜信號

         b : in std_logic;     --非法啟動發動機信號

         start1 : in std_logic;   --啟動檢測系統觸發信號

         q : out std_logic );     --檢測結果輸出

end COMPONENT;

COMPONENT led

     Port  ( clk : in std_logic;    --頻率1MHZ的時鐘信號

           rst : in std_logic;     --復位信號

           q1 : in std_logic;   --報警觸發信號

           r : out std_logic;       --紅燈輸出

           g : out std_logic;   --綠燈輸出

           y : out std_logic );     --黃燈輸出

end COMPONENT;

COMPONENT sound

    Port  ( start1: in std_logic; 

           b1 : in std_logic;       --非法啟動發動機信號

           q2 : in std_logic;       --非法信號

           led_b : out std_logic;      --鎖止發動機輸出

           led_door : out std_logic;   --鎖止車門輸出

           alarm : out std_logic);    --聲音報警

end COMPONENT;

signal  aa: std_logic;

begin

u1: on_off

PORT MAP ( sta => start,

           led1 => led1);

u2: test

PORT MAP (a1 => a1,

           a2 => a2,

        a3 => a3,

        a4 => a4,

        b => b,

        clk => clk,

        rst => rst,

        start1 => start,

        q => aa );

u3: led

PORT MAP( clk => clk,

           rst => rst,

        q1 => aa,

         r => r,

         g => g,

        y => y );

u4: sound

PORT MAP (start1 => start,

             q2 => aa,

             b1 => b,

          led_b => led_b,

          led_door => led_door,

          alarm => alarm );

主站蜘蛛池模板: 浦城县| 扎赉特旗| 甘肃省| 聂荣县| 蓬溪县| 宁德市| 秦安县| 上思县| 林西县| 越西县| 吴堡县| 乌拉特前旗| 梅河口市| 蓬溪县| 仪陇县| 聂拉木县| 巧家县| 三原县| 黔江区| 永顺县| 安阳县| 大田县| 延寿县| 民勤县| 武穴市| 额尔古纳市| 区。| 重庆市| 镇康县| 广德县| 突泉县| 灵武市| 樟树市| 洪洞县| 墨脱县| 揭西县| 固阳县| 镇坪县| 涟水县| 扶风县| 古交市|